• 519.55 KB
  • 2022-04-29 14:07:01 发布

中国半导体行业:晶圆代工行业如何缩短差距

  • 18页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'投资亮点在存储器价格上升,智能手机硬件升级,云计算快速发展,以及半导体公司合并等因素推动下,费城半导体指数从2016年1月到2018年9月总共上升133.9%,大幅跑赢标准普尔500指数(+50.2%)。但从SEMI公布月度数字来看,全球半导体销售额以及半导体设备月度出货额的同比增速都出现放缓趋势,反映全球半导体行业已经进入下行周期。图表1:费城半导体指数vs.半导体销售收入同比增速图表2:北美半导体设备制造商月度出货额增速放缓明显1,6001,4001,2001,00080060040030%GlobalsemiconductorsalesgrowthYoYPhiladelphiaSemiconductorIndex25%20%15%10%5%0%80.0%70.0%60.0%50.0%40.0%30.0%20.0%10.0%0.0%(美元十亿)20002013-092014-052015-012015-092016-052017-012017-092018-05-5%-10%2013-092014-092015-092016-092017-09-10.0%-20.0%北美半导体设备制造商出货额同比增长资料来源:万得资讯,资料来源:SEMI,WSTS,展望未来,半导体代工行业的新需求主要来自于AI,5G等新应用。出于对速度、功耗的限制,芯片基本需要采用14nm以上工艺生产。目前台积电在14nm以上工艺节点处于垄断地位,格罗方德/联电等海外企业相继放弃14nm以上工艺研发,中芯国际、华虹集团则成为了少数继续投身14nm以上先进制程的公司。图表3:全球硅晶圆代工收入拆分(2017,按工艺节点)图表4:全球硅晶圆代工收入拆分(2017,按厂商)3%3%29%6%0%13%3%45%1<=28nm28nm-90nm>=90nm62%TSMCGlobalFoundriesUMCSMICPowerchipHuaHongGroupTowerJazz26%资料来源:各晶圆代工厂商财报,资料来源:各晶圆代工厂商财报, 推荐关注企业2303TT中芯国际6.904,63040.330.50.90.92.2-44%-29-45图表5:可比公司估值表WINDCompanyPriceM/CapsP/EP/BROE(%)EPSPricechangegrowthTICKER15-OctUSDmn2018E2019E2018E2019E2018E2018E3MYTD2330TT台积电230.50193,46016.914.93.63.222.33%31981HK联电13.355,36514.915.40.80.85.215%-22-65347TT世界57.303,03715.913.93.33.020.831%-17-101347HK华虹半导体13.461,90811.110.70.80.88.415%-52-16晶圆代工-平均19.817.11.91.711.8晶圆代工-中间值15.914.90.90.98.4资料来源:万得资讯,彭博资讯,,注:中芯国际及华虹半导体为中金覆盖,其余公司使用市场一致预期图表6:全球主要半导体代工厂商业绩回顾及展望Ticker公司名称营业收入(百万美元)净利润(百万美元)20171Q182Q182018E2019E2020E20171Q182Q182018E2019E2020E2330TT台积电32,1338,4717,83433,46437,39641,66311,2803,0662,42811,50713,03614,829同比增长(%)9%12%11%4%12%11%9%9%11%2%13%14%981HK中芯国际3,1018318913,3533,8904,5061792952117122212同比增长(%)6%5%19%8%16%16%-52%-58%42%-34%4%74%2303TT联电4,9081,2801,3055,0325,3465,441317116123361363432同比增长(%)7%6%5%3%6%2%23%58%77%14%1%19%5347TT世界先进8192192379341,0171,0801483948192220242同比增长(%)2%9%22%14%9%6%-14%6%47%30%14%10%1347HK华虹半导体8082102309201,0011,1511454046164170177同比增长(%)12%15%16%14%9%15%13%18%33%13%4%4%资料来源:万得资讯,彭博资讯,,注:中芯国际及华虹半导体为中金覆盖,其余公司使用市场一致预期►台积电(TSMC)是全球最大的半导体代工企业。2017年全球市占率54%,其中在14nm及以上工艺处于绝对领先地位。利用先进工艺上的技术红利,继续保持高于行业平均的增长。在GF2018年8月宣布退出7nm研发以后,台积电在14nm以上工艺节点基本处于垄断地位。未来两年,台积电会继续利用其技术优势,抓住AI,5G的发展机会,保持稳定增长,受下行周期影响最小。图表7:台积电季度收入增长及利润率变化图表8:台积电P/B及ROE变化50%1Q142Q143Q144Q141Q152Q153Q154Q151Q162Q163Q164Q161Q172Q173Q174Q171Q182Q1860%53540%30%20%10%0%-10%-20%50%40%30%20%10%0%4.53043.5253202.52151.510150.5002010/1/12011/1/12012/1/12013/1/12014/1/12015/1/12016/1/12017/1/12018/1/1TSMCrevenueYoYgrowthTSMCOPM(RHS)TSMCGPM(RHS)TSMCP/B(LHS)TSMCROE%(RHS)资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►中芯国际(SMIC)在晶圆代工厂商中排名全球第3,台湾第2。受设备折旧和研发费用增加等影响,公司2017年收入增长6%,盈利下降52%。是全球少数继续14nm以上先进工艺研发的半导体代工企业。未来受先进工艺相关资本开支以及研发投入增大,以及12英寸成熟工艺(28-90nm)竞争加剧等影响,2019年增速仍然较低。 1Q142Q143Q144Q141Q152Q153Q154Q151Q162Q163Q164Q161Q172Q173Q174Q171Q182Q18图表9:中芯国际季度收入增长及利润率变化图表10:中芯国际P/B及ROE变化40%30%20%10%0%-10%-20%SMICrevenuegrowthYoYSMICOPM(RHS)SMICGPM(RHS)35%30%25%20%15%10%5%0%21.81.61.41.210.80.60.40.202010/1/12011/1/12012/1/12013/1/12014/1/12015/1/12016/1/12017/1/12018/1/1SMICP/B(LHS)SMICROE%(RHS)20100-10-20-30-40-50资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►联电(UMC)在晶圆代工厂商中排名全球第3,台湾第2,2017年市占率达到8%。公司2017年收入增长7%,盈利增长23%。由于研发先进制程投资巨大,联电2017年宣布不再投资12nm以下制程。公司认为,每一代先进制程投资增大,但客户结构变小,并且来自龙头台积电的压力巨大,因此转变策略追求投资回报率。图表11:联电季度收入增长及利润率变化图表12:联电P/B及ROE变化20%15%10%5%0%-5%-10%-15%-20%30%1Q142Q143Q141Q152Q153Q154Q151Q162Q163Q164Q161Q172Q173Q174Q171Q182Q1825%20%15%10%5%0%-5%1.287160.850.6430.420.21002015/1/12015/6/12015/11/12016/4/12016/9/12017/2/12017/7/12017/12/12018/5/1UMCrevenueYoYgrowthUMCOPM(RHS)UMCGPM(RHS)UMCP/B(LHS)UMCROE%(RHS)资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►世界先进(Vanguard)是纯8”的晶圆代工厂。公司2017年收入增长2%,盈利下降14%。目前拥有3座8”晶圆厂,2017年平均月产能约19.5万片。主要产品包括驱动IC、电源管理IC、和功率器件,未来将积极布局车用电子以及物联网市场。图表13:世界先进季度收入增长及利润率变化图表14:世界先进P/B及ROE变化30%25%20%15%10%5%0%-5%-10%-15%-20%-25%40%1Q142Q143Q144Q141Q152Q153Q154Q151Q162Q163Q164Q161Q172Q173Q174Q171Q182Q1835%30%25%20%15%10%5%0%VanguardrevenuegrowthYoYVanguardOPM(RHS)VanguardGPM(RHS)5254.54203.53152.52101.5150.5002010/1/12011/1/12012/1/12013/1/12014/1/12015/1/12016/1/12017/1/12018/1/1VanguardP/B(LHS)VanguardROE%(RHS)资料来源:彭博资讯,资料来源:万得资讯,彭博资讯, ►华虹半导体受益于8英寸需求紧缺影响,华虹过去一年实现收入8.1亿美元,净利润1.5亿美元。考虑到半导体下行周期影响,我们这次调低华虹2019年收入/净利润3%/11%,调低目标价39%到20.82港币,维持推荐评级。图表15:华虹半导体季度收入增长及利润率变化图表16:华虹半导体P/B及ROE变化50%40%30%20%10%0%-10%40%3Q154Q151Q162Q163Q164Q161Q172Q173Q174Q171Q182Q1835%30%25%20%15%10%5%2.51221081.56140.52-20%0%HuaHongrevenuegrowthYoYHuaHongOPM(RHS)HuaHongGPM(RHS)002015/7/12015/11/12016/3/12016/7/12016/11/12017/3/12017/7/12017/11/12018/3/1HuaHongP/B(LHS)HuaHongROE%(RHS)资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,股价及估值自2016年以来,各代工厂商股价整体一路高走,台积电涨势最为稳健,股价相比2016年初已上涨近90%,回撤幅度很小,充分反映其行业龙头地位及先进制程带来的强劲增长动能。华虹半导体涨幅最大,股价最高涨幅295%。但随着市场对行业进入下行周期的预期,股价大幅度下挫。中芯国际受未来业绩拖累,回撤最为明显,目前价格基本回到2016年年初水平。图表17:主要代工厂商自2016年以来股价变动TSMCUMCVanguardSMICHuaHong450%400%350%300%HuaHong:124%250%TSMC:84%200%150%UMC:33%Vanguard:59%SMIC:6%100%50%0%2016/1/32016/4/32016/7/32016/10/32017/1/32017/4/32017/7/32017/10/32018/1/32018/4/32018/7/3资料来源:万得资讯,彭博资讯,,注:标注值为2018/9/28收盘价相比2016/1/3收盘价的涨幅 从估值水平来看,半导体代工企业的估值基本遵循P/B-ROE的关系,既高ROE对应高P/B。台积电和世界先进在过去几年一直保持业界领先的ROE水平,这帮助这些公司一直保持较高的P/B估值。联电由于退出先进工艺研发,实现公司ROE提升,华虹受益于8英寸供求紧张,ROE过去几个季度连续上升,这也是带动股价上升的主要原因之一。中芯国际受19年折旧费用上升等影响,从PB-ROE估值角度来看,目前估值水平较高,但鉴于其成为除台积电外唯一致力于研发14nm以上先进制程的厂商,会得到中国政府及客户的大力支持,实现快于行业平均的增长,因此存在一定溢价。图表18:主要代工厂商2018年P/Bvs.ROE图表19:主要代工厂商2019年P/Bvs.ROEROE25.0ROEVanguardTSMCHuaHongUMCSMIC25.0TSMCVanguardHuaHongUMCSMIC20.020.015.015.010.010.05.05.0--0.51.01.52.02.53.03.54.04.5P/B--0.51.01.52.02.53.03.54.0P/B资料来源:万得资讯,彭博资讯,资料来源:万得资讯,彭博资讯, 中国半导体:代工行业如何突围行业特征:2017全球市场规模500亿美金以上,资本研发密集型行业晶圆代工(Foundry)在半导体产业链中地位十分重要,是行业着实的中流砥柱。具体来讲,代工厂商的任务是将设计客户的版图制造成实际的集成电路或分立器件,再交给封测厂商进行实施后道工序,毫不夸张地说,是代工厂商给了芯片“生命”。在行业发展初期,IDM(垂直一体化厂商)几乎占领了整个市场,但随着制造成本的不断上升,为实现更大的经济效益,纯晶圆代工模式逐渐普及。图表20:半导体代工行业所处位臵EDA/IP半导体材料设计Fabless晶圆代工Foundry封测OSAT模组整机掩模半导体设备资料来源:根据iHS的统计,全球硅晶圆代工行业营收2017年达到521亿美元,2012-2017年复合增长率达10.8%,主要驱动力包括(1)智能手机的普及带来的半导体用量上升,(2)代工模式的占比提升(纯晶圆代工产能占比由2012年的24.1%增长至2017年的34.0%)。在2018-2020年,行业收入增速将维持在8%上下。从应用端来看,半导体代工行业的主要客户包括:智能手机等无线通讯产品(2017:49%,255亿美金)、个人计算机和服务器用计算芯片(2017:11%,57.3亿美金)、消费类产品(2017:18%,93.7亿美金)、车用产品(2017:6%,31.2亿美金)、工业用产品(2017:14%,72.9亿美金)、及占比较小的有线通讯产品。图表21:全球硅晶圆代工收入回顾及预测图表22:全球硅晶圆代工收入拆分(2017,按应用)(美元十亿)7050.0%6040.0%14%11%5030.0%4020.0%3010.0%200.0%1810-10.0%ComputeWirelessWiredConsumerAutomotiveIndustrial02009201020112012201320142015201620172018E-20.0%6%%49%2%硅基晶圆代工收入同比增速(右轴)资料来源:iHS,资料来源:各代工厂商财报,从工艺节点来看,各制程市场规模及占比分别为:16nm及以上工艺(2017:20%,104亿美金)、16-28nm先进工艺(2017:19%,101亿美金),28-90nm成熟工艺(30%,157亿美金),90nm以上的8寸(28%,145亿美金),其他(3%,17亿美金)。从竞争格局来看,台积电2017年收入323亿美金,约占全球62%。其他厂商2017年收 入包括联电(9.5%,49.3亿),SMIC(6%,31.3亿)。台积电在16nm及以上工艺节点(总共104亿美金市场)领先主要竞争对手3年以上时间,处于绝对垄断地位。图表23:全球硅晶圆代工收入拆分(2017,按工艺节点)图表24:全球硅晶圆代工收入拆分(2017,按厂商)3%3%29%6%0%13%3%45%1<=28nm28nm-90nm>=90nm62%TSMCGlobalFoundriesUMCSMICPowerchipHuaHongGroupTowerJazz26%资料来源:各晶圆代工厂商财报,资料来源:各晶圆代工厂商财报,相比设计厂商和封测厂商,代工环节具有资本密集型及技术密集型两大特征,进入难度相对较高;同时厂商通过持续的研发投入,获得更先进的技术能力后,能够取得较高的营业利润率。代工行业是资本密集型行业:晶圆厂建设、扩产需要大量的资本开支投入。根据iHS的数据,近五年来,全球半导体代工厂商资本开支占收入比例维持35%以上的高位,充分说明行业资本的密集性。代工行业是研发密集型行业:我们统计了台积电、联电、中芯国际、华虹半导体及世界先进五家厂商的情况,近五年来,它们的研发支出占收入比维持在高个位数,充分说明研发在代工行业中的重要地位。由于先进制程每迁移一次技术节点都伴随着比成熟制程高数倍的投入,2017年起台积电开始10nm以上制程研发,支出明显加大,带动行业研发费用率上升。图表25:全球半导体代工厂商资本开支及占收入比图表26:全球主要半导体代工厂商研发支出及占收入比19,50019,00018,50018,00017,50017,00016,50016,00015,50015,00014,5002013201420152016201750.0%(USDmn)46.5%40.9%38.5%35.0%35.8%45.0%40.0%35.0%30.0%25.0%20.0%15.0%10.0%5.0%0.0%4,0003,5003,0002,5002,0001,5001,0005000201320142015201620179.5%(USDmn)9.2%8.3%8.0%8.0%8.0%9.0%8.5%8.0%7.5%7.0%FoundryindustrycapexCapextoRev.ratio(secondaryaxis)TotalR&DexpenseR&DtoRev.ratio资料来源:iHS,资料来源:ICinsights,彭博资讯, 发展趋势:短期受手机及虚拟货币增速放缓拖累,长期AI、5G、汽车等成为新动能在存储器价格上升,智能手机硬件升级,云计算快速发展,以及半导体公司合并等因素推动下,费城半导体指数从2016年1月到2018年9月总共上升133.9%,大幅跑赢标准普尔500指数(+50.2%)。但从SEMI公布月度数字来看,全球半导体销售额以及半导体设备月度出货额的同比增速都出现放缓趋势,反映全球半导体行业已经进入下行周期。图表27:费城半导体指数vs.半导体销售收入同比增速图表28:北美半导体设备制造商月度出货额增速放缓1,6001,4001,2001,00080060040030%GlobalsemiconductorsalesgrowthYoYPhiladelphiaSemiconductorIndex25%20%15%10%5%0%80.0%70.0%60.0%50.0%40.0%30.0%20.0%10.0%0.0%(美元十亿)20002013-092014-052015-012015-092016-052017-012017-092018-05-5%-10%2013-092014-092015-092016-092017-09-10.0%-20.0%北美半导体设备制造商出货额同比增长资料来源:万得资讯,资料来源:SEMI,WSTS,目前代工行业发展的负面因素有:先进制程增长受到拖累,主要由于智能手机出货量2018-2020年增速放缓至低个位数,虚拟货币价格相比去年年末下跌较多,矿机芯片需求减退;成熟制程方面,客户存在重复下单情况,代工厂商库存较高。而正面因素是:在未来五年,整个晶圆代工行业的驱动力由智能手机逐渐转向AI、5G、IoT、汽车等新应用。►我们认为,人工智能(AI)涉及大量的数据处理任务,促进对10nm以上先进制程计算芯片的需求增长。根据IBS的预测,到2025年,全球10nm/7nm制程硅晶圆代工出货量将达220万片,相比目前翻了一番。从设计端来看,计算芯片在2017-2022年CAGR将保持在高个位数。►5G主要带来射频前端芯片新的需求。包括射频开关,功率放大器等。第三代化合物半导体GaN在射频器件中的渗透率不断上升,根据Yole的预测,2017~2023GaN器件市场规模保持23%CAGR增长,促进非硅半导体行业分工细化,利好非硅纯代工厂商。此外,5G手机的增多,也会带来AP及基带芯片价值的提高,利好硅基代工厂商营业收入增长。从设计端来看,有线通讯芯片销售收入2017-2022年CAGR有所提高。►IoT同样成为半导体行业新的驱动力。IoT芯片虽然单颗的价值低,但需求量巨大。工业用芯片是典型体现,设计端市场规模2017-2022年CAGR维持在高个位数。►电动汽车和自动驾驶将大大增加车载半导体的用量。微处理器、模拟电路、逻辑芯片、以及分立器件都会受益于车载半导体市场规模的增长。iHS的预测,设计厂商来自车用芯片的收入将在2017-2022年保持8.6%的CAGR增速。但新应用的渗透仍需要时间,在未来1-2年内还很难对代工行业形成有力的需求刺激。从2016年起,代工行业增长基本由16nm以下先进制程贡献,未来也近乎成为行业的唯一驱动。28nm、12寸成熟制程、及因供不应求暂时乐观的8英寸在未来1-2年内,甚至在更长期时间内都将面临风险。 图表29:全球半导体行业营业收入历史及预测(设计端,按应用)201220172022E2012-2017CAGR2017-2022CAGRData-related97,850147,755220,9758.6%8.4%%oftotal31.8%34.4%38.1%growthy-y-6%33.4%4.1%Wirelesscommunication82,766132,170152,7309.8%2.9%%oftotal26.9%40.4%46.7%growthy-y12.8%21.9%1.3%Wiredcommunication19,25022,55229,4883.2%5.5%%oftotal6.3%6.9%9.0%growthy-y-3.9%6.9%4.0%Consumer47,22840,00549,722-3.3%4.4%%oftotal15.4%12.2%15.2%growthy-y-15.7%12.2%1.9%Automotive25,36338,07557,6208.5%8.6%%oftotal8.2%11.6%17.6%growthy-y-1.8%16.2%5.9%Industrial34,76049,08270,0057.1%7.4%%oftotal11.3%15.0%21.4%growthy-y-1.8%12%5%Total307,217429,639580,5406.9%6.2%growthy-y-2.5%21.8%3.5%资料来源:WSTS,iHS,竞争格局:台积电利用技术及规模优势,不断扩大领先地位随着新应用对芯片计算能力及功耗要求的不断提升,整个代工行业中,先进制程营收规模自2015年起占据首要地位,并保持双位数同比增速。根据我们对六家主要晶圆代工厂商的统计(TSMC、GF、UMC、Vanguard、中芯国际、华虹半导体),2017年全年来自28nm以上先进制程的营业收入约为205亿美元,占比达40%左右。28nm-90nm成熟制程(12’’)的营收占比约为30%,而8寸晶圆营收占比约为28%。台积电凭借自身在代工行业的先发优势,拥有了领先的市占率和利润率,以高额的利润和良好的现金流支撑巨额的资本开支和研发支出(可以和资本实力强大的IDM厂商媲美),再利好技术的进步,以此周而复始,成为行业绝对的王者。我国的长江存储(IDM)、中芯国际资本开支跻身世界前十。图表30:主要工艺节点收入规模一览图表31:各工艺节点占总营收比变化趋势(百万美元)26%18%17%60,00030%(营收占比)120%50,00040,00025%20%100%80%30,00015%60%20,00010%40%10,0005%20%00%201520162017<=28nm28nm-90nm>=90nm先进制程同比增速(右轴)0%20142015201620171Q182Q18<=28nm28nm-90nm>=90nm资料来源:各晶圆代工厂商财报,资料来源:各晶圆代工厂商财报, 图表32:主要半导体厂商资本开支情况图表33:主要半导体厂商研发支出情况2017RankCompany2015201620172017RankCompany2015201620171Samsung12,75211,34724,2391Intel12,12812,74013,0982Intel7,3269,62511,7782Qualcomm3,7025,1093,4503TSMC7,83110,09810,2893BroadcommLtd.3,1253,1883,4234Hynix6,0145,1168,1054Samsung2,1052,8813,4155Micron4,4276,1375,8505Toshiba1,6552,7772,6706Toshiba2,4924,0904,6766TSMC2,0682,2152,6567Globalfoundries4,4022,7202,8187MediaTek1,4601,7301,8818YMTCNANA2,5008Micron1,6951,6811,8029SMIC1,5732,6952,4599Nvidia1,3171,4631,79710UMC1,8542,8771,46410SKHynix1,4211,5141,729资料来源:ICinsights,资料来源:ICinsights,主要工艺节点竞争格局点评:►16nm及以上(FinFET)先进制程:受益于人工智能、高性能计算及数字货币驱动,台积电2017年10nm营业收入占比已达10%,约为30亿美元,包揽14nm以下纯晶圆代工所有订单(不含存储器),市占率达100%。►28nm制程:28nm是先进制程的门槛,2017年台积电市占率达71%,各代工企业竞争激烈。联电、格罗方德及中芯国际均有28nm产线量产,华虹JV也逐渐进入了28nm阵营。由于台积电技术突破最早,目前凭借较小的折旧压力打低价战来获得更多的市场份额,加上整个制程扩产相对激进,供大于求,使其余厂商毛利率承压。►40-90nm成熟制程:12寸成熟制程市场格局清晰。目前台积电市占率在一半左右,格罗方德、联电、中芯国际和力晶等厂商几乎平分秋色。但我们也观察到,2Q18各厂商12英寸存货水平达到高点。►8寸(90nm-0.35um)成熟制程:根据iHS的数据,2017台积电仍以41%的市占率位居8寸硅晶圆代工榜首。根据SEMI的统计,过去五年中,2012-2014年8寸晶圆产能变化不大,甚至一度因为客户转单原因有8寸厂关闭。总体需求在3600k-4300k片每月之间波动,产能利用率70%-80%。而在2015年后,8寸片产能有所上升,2017年产能相比2015年上涨约7%。4Q15起8寸受上游硅片供给不足、中低端MCU/PMIC需求增加及功率半导体大量转向8英寸厂投片,需求开始爬坡,到2017年底全球8寸片已出现供需紧张。今年8寸片ASP上涨尤其明显。根据SUMCO的测算,目前8英寸晶圆的需求量约在550万片-560万片每月,2018年全球8寸晶圆厂有194座,月产能约为550万片(包含IDM),且因设备短缺扩产不利。我们认为,供需紧张的局面仍将持续到2019年,短期内对ASP有推动作用,而长期来看,受此影响,8寸产品重复下单的情况有所扩大,代工厂商库存水平上升,构成一定风险。 图表34:全球8寸晶圆产能及需求情况(kwpm)2015-2017capacityincrease~7%6,0005,0004,0003,0002,0001,0001Q122Q123Q124Q121Q132Q133Q134Q131Q142Q143Q144Q141Q152Q153Q154Q151Q162Q163Q164Q161Q172Q173Q174Q1708-inchdemand8-inchcapacity资料来源:SEMI,中国企业的机会与挑战:产能迅速扩张,技术有待提高根据对全球主要半导体代工企业财报的分析,过去五年(2012-2017)全球主要半导体代工企业中国区收入保持年均25%的复合增长率高速增长。中国区收入占全球比例从2012年的7.3%上升到2017年的13.4%。这反映中国半导体设计企业在过去几年的快速成长。按中国区收入口径统计,2017年台积电在中国大陆客户的市占率达到53%,反映其在28nm以上先进工艺的垄断地位。中芯国际、华虹集团两大晶圆代工厂商合计市占率达28%,与台积电仍有不小差距。不过另一方面,也说明了我国本土企业的市场增长空间仍然巨大,前景向好。一旦拥有先进的技术或差异化产品,加上地域优势,很容易抢占外资在大陆的市场份额。图表35:中国大陆半导体代工企业收入趋势图表36:中国大陆硅晶圆代工市占率(按营业收入,2017)8,0007,0006,0005,0004,0003,0002,0001,000020122013201420152016201745.0%(USDmn)39%35%28%23%24%16%40.0%35.0%30.0%25.0%20.0%15.0%10.0%5.0%0.0%5%7%21%14%53%TSMCSMICHuaHongGroupUMCOthersFoundryrevenueinChinamainlandRevenuegrowthYoY(RHS)资料来源:各晶圆代工厂商财报,资料来源:各晶圆代工厂商财报,在2014年发布的《国家集成电路产业发展推进纲要》等有利的产业政策推动下,中国大陆半导体行业产能迅速扩张。根据iHS统计,截止2017年年末,中国大陆境内已经建成的晶圆厂24座,总产能折合8寸硅片约160万片,占全球总产能18%。此外,中国目前在建晶圆厂7座(不含存储器),全部投入生产后,总产能与2017比扩大46%,占全球总产能达到20%左右。 图表37:中国大陆晶圆代工产能(8""eqKWPM)18.2%18.0%17.7%17.3%16.2%16.2%1,8001,6001,4001,2001,000800600400200020142015201620171Q182Q1818.5%18.0%17.5%17.0%16.5%16.0%15.5%15.0%资料来源:iHS,ChinaChinacapacityasa%oftotal但是从技术角度,中国企业与与全球龙头差距仍然较大,中芯国际在28nm节点上落后,目前大力投入14nm及以上研发;华虹在实现28nm量产以后,在华力微二厂积极布局14nm。先进制程上,台积电处于绝对领先地位,三星位居其次。台积电在2012年便攻克了28nmHKMG(高介电常数金属栅极)制程,之后先进制程发展迅速,其7nm制程已于2Q18开始风险生产,预计2019年收入占比将超过20%。5nm预计在2020年推出。三星宣布将于下半年投产7nm,同时5nm及以下的制程也在规划中。格罗方德、联电位于第二梯队。考虑到成本,两家均未有继续研发先进制程的计划。格罗方德将继续依靠14nm及22nmFD-SOI产品的差异化、多样化提升自身实力,联电积极扩产28nm(厦门厂)来巩固竞争地位。我国的中芯国际在28nm制程上早前公司在28nm的研发进度上略让人失望,落后上述国际大厂。但14nm平台目前已进入“制程冻结”,将于1H19底开始进行风险生产,公司已成为了除台积电外唯一致力于10nm以下芯片(含)开发的纯晶圆代工厂商。华虹集团借助大基金的支持,也开始进行14nm研发。图表38:全球主要晶圆制造厂商先进制程技术路线一览20113Q11201220132014201520162017201820192020202128nmPolySiON28nmHKMG20nmPlanar1Q122Q14台积电16nmFinFET4Q15三星10nmFinFET7nmFinFET5nmFinFET3nmFinFET28nmPolySiON28nmHKMG22nmFD-SOI20nmPlanar14nmFinFET10nmFinFET7/5/4nmEUV3nmGAA28nmPolySiON28nmHKMG14nmFinFET28nmPolySiON22nmFD-SOI1Q172Q182Q2020214Q122Q132Q152Q141Q151Q17201820202013联电20152Q182Q131Q14格罗方德20nmPlanar14nmFinFET7nmFinFET22nmPlanar14nmFinFET4Q141Q153Q18停止研发4Q11英特尔2Q1410nmFinFET7nmFinFET28nmPolySiON28nmHKMG202020212Q154Q17,HKC+in2019中芯国际20nmPlanar14nmFinFET10nmFinFET8nmFinFET1Q191H191H202021资料来源:公司数据, 化合物半导体代工市场:规模尚小,稳懋市占率达六成非硅基半导体代工主要包含第二代半导体材料砷化镓以及第三代半导体材料氮化镓(GaN)、碳化硅(SiC)等。目前纯代工市场主要的份额集中在稳懋、宏捷科以及环宇等,另外国内厂商,例如三安光电也从2015起宣布建设化合物半导体相关产线。根据我们统计的稳懋、宏捷科、环宇、晶电及三安光电五家化合物半导体代工厂商的数据,化合物半导体代工行业2017年营收约2.7亿美元(规模不足硅基代工营收的1%),根据市场一致预期,2018年行业收入规模达3.0亿美元,同比增长8.3%。受5G新周期驱动,射频前端芯片市场规模的增加将在未来对上述企业构成一定利好。图表39:全球化合物半导体市场规模及预测(美元百万)3,50025%3,0002,5002,00020%15%1,50010%1,0005%50000%20112012201320142015201620172018E非硅晶圆代工厂商收入同比增长(右轴)资料来源:彭博资讯,化合物半导体代工厂商中,2017年稳懋仍稳居收入第一。三安光电虽然营收达到12.9亿美元,但其主营业务仍为LED芯片制造,来自化合物半导体代工的收入占比仍然较低。晶电也非纯化合物半导体代工厂商。图表40:主要非硅晶圆代工厂商业绩回顾公司模式地点2015收入(美金百万)2016收入(美金百万)2017收入(美金百万)同比增速(%)稳懋晶圆代工台湾37842356232.9%三安光电IDM中国766*930*1226*31.8%晶电IDM台湾803*792*830*4.8%环宇晶圆代工台湾52566312.5%宏捷科晶圆代工台湾1397155-22.5%资料来源:彭博资讯,;注:*表示非纯化合物半导体代工收入我们认为目前砷化镓射频以及光电市场环境稳定,主要以IDM模式为主,总体呈现寡头竞争格局。纯代工市场规模尚小,但稳懋占据60%以上的份额,对于三安光电等新进入者来说,短期内难以取得领先地位。而第三代化合物半导体将在未来主要应用于电力、射频上,目前市场主要还被英飞凌、OnSemi等传统功率半导体IDM大厂垄断,而GaN射频器件主要玩家则包括Qorvo、住友电工等。 中国企业战略:中芯国际、华虹半导体、三安光电我们看到中国半导体再次激进发展跟大基金的扶持密不可分。中国效仿当年面板行业的成功经验,在先进制程的研发以及产线建设初期对于公司实行极大的财务补助,某些产线在扭亏为盈前将享受阳光政策予以填补亏损,极大缓解了上市公司的财务压力。大基金一期在成立时所订战略就是“以晶圆代工为核心,并且进行配套产业链投资”。其中包括,对中芯国际投资120亿元,成为第二大股东,国家半导体产业基金连同上海半导体产业基金占中芯南方股权49.9%。投资60亿元进入华虹半导体,用于支持华虹在无锡建设的12”产线。投资64亿元进入三安光电,用于扶持三安光电转型切入化合物半导体领域。图表41:大基金一期制造端投资标的产业链标的公司代码主营业务投资时间投资额(人民币亿元)持股占比备注制造长江存储非上市3DNAND2016.03承诺投资n.a.与紫光集团联合分两期投资189亿制造三安光电600703.SH化合物半导体2015.06/126411.3%制造士兰微600460.SH分立器件2016.036n.a.支持建设8"线(士兰集昕)制造耐威科技300456.SZMEMS2017.051410.5%成为第二大股东,另外6亿投入子公司制造中芯国际0981.HK晶圆代工2015.02/2016.06/12/2017.06/1112015.9%第二大股东制造华虹半导体1347.HK晶圆代工2016.12/2018.016018.9%支持建设无锡12"线制造总计264资料来源:半导体行业观察,中芯国际:加速先进工艺与差异化战略并举中芯国际2000年设立,是中国最大的本土半导体代工企业,目前主要股东包括大唐控股、国家集成电路产业基金(大基金),紫光集团等。在8寸厂方面,中芯目前在上海,天津,深圳和意大利拥有四座晶圆厂,并在天津建设第五座第晶圆厂。截至2Q18,8寸总产能约23.5万片每月,全球市占率约4%。在12寸成熟工艺(40-90nm)上,公司全资拥有上海,北京,深圳三座工厂。在12寸先进工艺(28nm,14nm及以上),公司在北京拥有一座支持28nm的合资工厂,计划在上海建设一座支持14nm及以上工艺的工厂。此外,公司还投资长电科技,并控股一家12寸bumping厂。图表42:中芯国际公司架构图公众股东紫光(6.9%)大唐(16.2%)国家集成电路产业基金(14.8%)中芯国际(0981HK)14%100%100%8英寸12英寸成熟工艺长电科技(600584CH)上海天津北京上海中芯长电12-inchbumping深圳天津(新)深圳70%中芯意大利(LFoundry)8英寸厂,车用中芯北方(北京,28nm)55%中芯南方(上海,14nm)资料来源:万得资讯,公司数据,►加快先进工艺研发,追赶世界领先水平。中芯国际在2018年8月宣布完成28nm(HKMG)的研发,第一个基于Finfet技术的14nm平台工艺冻结,预计1H19进入风险生产。主要产品包括智能手机SoC、虚拟货币挖矿芯片以及高端消费电子相关芯片。公司未来继续加大研发投入,继续开发基于FinFET平台上的更先进技术节点。 ►差异化战略:公司设定在成熟制程平台推进产品差异化战略,公司目前拥有包括CIS(图像传感器),RF(射频),电源管理芯片、MCU等,保证成熟平台产能利用率能够保持在高位。2017年,公司与兆易创新宣布战略合作,代工其利基型存储产品。一方面,通过切入新的应用产品以及客户渠道,能够有效帮助公司提高产能利用率;另一方面,兆易通过绑定中芯国际,能够获得有效的产能保障。►产能扩张战略:中芯国际近几年来积极扩大产能,以此来切入更多的产品代工市场,近3年来单月产能增加了近16万片,增幅达到64%。公司目前先进制程分别在北京的B2厂(主要做28nm)以及上海的中芯南方厂(主要做14nm及以下工艺)。图表43:中芯国际的工艺布局(1Q18)CIS-BSIBCDHigh-VoltageMixed-signal/RFLogicEmbdflashEmbdEEPROMNOR/NANDflashMEMSTSVRFSOI0.35um0.25um0.18um0.15/0.153um0.13um0.11um95nm90nm65nm55nm40/45nm38nm28nm24nm14nm资料来源:公司数据,;附注:打钩表示已投产,圆点表示产品研发中,即将量产,或代表公司未来计划生产该产品 华虹半导体:深耕8寸制程,携手大基金步入12寸领域华虹半导体是2011年由中国第二大和第三大的晶圆代工厂华虹半导体、上海宏力半导体合并设立。2014年上市。主要股东上海联合投资,中国电子等。上市公司目前在上海拥有3座200mm晶圆厂,2018年年底总产能约176kwpm。目前无锡在建1座300mm晶圆厂。此外母公司上海联合投资拥有一座300mm晶圆厂,在建1座14/28nm工艺的300mm晶圆厂。图表44:华虹集团的股东结构国家集成电路产业投资基金中国电子上海联和投资有限公司上海集成电路产业投资基金47.1%47.1%50.2%29%华虹集团33.7%18.8%无锡市政府21%华虹半导体(1347HK)6.4%40.2%华力微电子51%8英寸,上海12英寸,上海FAB7(无锡)(12寸,60/90nm)FAB1FAB2FAB3FAB5(28/45nm)FAB6(14/28nm)资料来源:万得资讯,公司数据,►公司拥有功率半导体,内嵌式存储(Non-Volatile-Memory),电源管理,逻辑/MCU等不同工艺平台。华虹40%左右的营收来自于内嵌式存储,主要的应用为MCU以及智能卡;剩余30%左右来自于功率器件,是国内少数拥有先进技术能够代工IGBT的厂商。目前3座8”厂的布局为,1厂主要做模拟、电源管理、分立器件、智能卡;2厂主要做高压的分立器件;3厂主要做最先进的逻辑、射频以及一部分MCU和智能卡需要的内嵌式存储。图表45:华虹半导体的工艺布局Logic/RFeNVMStandaloneNVMAnalog/PMICPowerdiscreteSensors28nm(Huali)xx40nm(Huali)xx55nm(Huali)xxx90nmxx0.13umxxxxx0.18umxxxxx>=0.25umxxxxx资料来源:公司数据,►得益于国家资本的支持,公司目前通过在无锡建设12”成熟工艺新线来解决产能紧张的局面,公司无锡12”晶圆厂计划2H19开始量产,公司计划初期将银行卡中的内嵌式存储、射频及逻辑产品、部分模拟产品逐步转移至无锡厂,预计能够释放8kwpm左右的产能,以此来解决8”产能,主要是功率器件紧缺的问题。 图表46:华虹半导体P/B及ROE变化图表47:华虹半导体季度收入及利润率的变化2.521.510.502015/7/12015/11/12016/3/12016/7/12016/11/12017/3/12017/7/12017/11/12018/3/1HuaHongP/B(LHS)HuaHongROE%(RHS)1250%1040%30%820%610%40%2-10%0-20%HuaHongrevenuegrowthYoYHuaHongOPM(RHS)HuaHongGPM(RHS)40%3Q154Q151Q162Q163Q164Q161Q172Q173Q174Q171Q182Q1835%30%25%20%15%10%5%0%资料来源:万得资讯,彭博资讯,资料来源:彭博资讯,三安光电:积极切入化合物半导体代工公司2015年6月通过大基金入股宣布建立化合物半导体产线,产品路线图为第1阶段做PA产品,第2阶段做光电类产品,第3阶段做滤波器产品。目前公司的设计产能已接近10kwpm,但实际出货量还较少,主要因为没有体量较大的客户。我们预计2018年底前公司有可能小批量出货给战略合作伙伴,公司目标1H19出货量能够达到4kwpm。虽然砷化镓PA是公司第1阶段开始推出的产品,但整体市场竞争格局已经相对稳定,公司从已有竞争对手中抢夺市场份额具有一定的压力。图表48:三安光电的产能布局化合物半导体2015201620172018E2019E2020E产能(千片)3060110220330GaAs3060105210300GaN0051030资料来源:公司数据, 附录:中国境内半导体制造产线地图(不含存储器)西安Xi’an西岳微电子Fab1:6’’厦门Xiamen士兰微电子FabX:12’’,90nm士兰微电子FabX:12’’,90-65nm杭州Hangzhou士兰微电子Fab1:5’’士兰微电子Fab2:6’’士兰微电子合资Fab3:8’’上海Shanghai中芯国际Fab1:8”,0.35-0.18um中芯国际Fab2:8’’,0.35-0.11um中芯国际Fab8:12’’,45/40/28nm中芯南方SN1/SN2:12’’,14nmandunder华虹宏力Fab1:8’’,0.35-0.18um华虹宏力Fab2:8’’,0.18-0.11um华虹宏力Fab3:8’’,0.25/0.22/0.18/0.13um华力微Fab1:12’’,55/40/28nm华力微Fab2:12’’,28nm华力微FabX:12’’,28-14nm上海先进Fab1:5’’,1.5um上海先进Fab2:6’’,0.5um上海先进Fab3:8’’,0.35/0.25um达尔科技Fab1:6’’,1.2um台积电Fab10:8’’,0.35-0.13um已投产产线在建/规划中产线设备装机中产线图例北京Beijing中芯国际FabB1:12’’,65nm中芯国际合资FabB2:12’’,40/28nm深圳Shenzhen方正微电子:6’’中芯国际Shenzhen9:8’’,0.18/0.13/0.11um中芯国际Shenzhen10:12’’,45/40nm珠海Zhuhai珠海南科集成电子Fab1:6’’,0.8-0.25um成都Chengdu德州仪器CFAB:8’’,0.35-0.13um格罗方德Fab11:12’’,22nm重庆Chongqing华润微电子Fab:8’’万国半导体FabX:12’’合肥Hefei力晶(晶合)Fab4:12’’,0.15/0.11um,90nm无锡Wuxi华润上华Fab1:6’’,2um华润上华Fab2:8’’,0.25-0.13um华润上华Fab5:6’’,0.6-0.5um华虹宏力合资Fab:12’’,28nm苏州Suzhou联电Fab8N:8’’,0.35-0.11um南京Nanjing台积电Fab16:12”,16nm天津Tianjin中芯国际Fab7:8’’,0.35-0.15um中芯国际FabX:8’’罗姆Fab1:6’’,>0.5um罗姆Fab2:6’’,>0.5um图表49:中国境内主要半导体制造产线地图(不含存储器)资料来源:SEMI,公司数据,'