• 763.14 KB
  • 2022-04-29 14:07:09 发布

中国半导体行业:设备,进口替代推动本土企业成长.docx

  • 21页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'图表34:02专项对应的设备及主要公司16图表35:中国半导体设备销售额占比快速上升16图表36:预计2018年中国半导体设备销售额同比增长约43.5%17图表37:2018/2019e中国大陆半导体设备销售额预测17图表38:主要中国半导体设备公司收入利润一览19图表39:北方华创有关设备进入国内半导体生产线情况20图表40:长川科技客户及产品进入时间21图表41:长川科技2016年主要客户及销售额占比21 投资亮点设备行业进入下行周期,全球市场增速放缓,进口替代利好中国公司半导体行业进入下行周期,导致制造商设备采购增速放缓,但2018/19年全球半导体设备市场规模仍有望维持正增长。2016年起,全球半导体资本投资周期再度开启,2016/17年全球半导体设备销售额分别为412/566亿美元,同比增长13%和37%,其中制造设备销售额占据绝对主导地位。展望2018和2019年,由于全球半导体行业进入下行周期,SEMI预计,全球半导体设备销售额增速将放缓至10.8%/7.7%,市场规模将达到627和675亿美元。但若下游晶圆厂投产进度不及预期,则2019年全球设备投入或存在下滑风险。事实上,中国大陆晶圆厂建设将成为下行周期内设备行业增长的驱动力。根据SEMI的预测,在下行周期晶圆厂扩产计划暂缓,设备需求减弱情况下,2019年EMEA、韩国、日本等地区市场规模均呈现倒退。而中国大陆地区半导体设备销售额2018/19年将实现44%/46%增长,远超行业增速,助力行业销售额再创新高。在全球半导体行业大趋势向下的未来两年,中国半导体设备市场“淡季不淡”,对本土设备企业构成利好。图表1:全球半导体设备销售额预测(十亿美元)80706050403020100全球半导体设备销售额预测2018EGrowthy-y2019EGrowthy-yAmerica5,5413%6,87724%China11,66644%17,03246%EMEA5,05026%3,772-25%Japan9,08249%8,502-6%Korea18,792-6%17,329-8%SEAsia2,46032%2,271-8%Taiwan10,200-8%11,73715%Total62,79167,520200%150%100%50%0%-50%-100%20052006200720082009201020112012201320142015201620172018E2019E全球半导体设备销售额YoY资料来源:SEMI,本土企业在各类半导体关键制程设备均有突破,但在先进制程领域较外资设备依然存在差距。经过多年培育,国产半导体设备已经取得重大进展,基本覆盖了各种关键设备种类,整体水平达到28nm,并在14nm和7nm实现了部分设备的突破。1)在28nm领域:刻蚀机(北方华创、中微半导体)、薄膜沉积设备(北方华创、沈阳拓荆)、氧化扩散炉(北方华创)、清洗设备(北方华创、上海盛美)和离子注入机(中电科电子装备)已经实现量产;2)在14nm领域:硅/金属刻蚀机(北方华创)、薄膜沉积设备(北方华创)、单片退火设备(北方华创)和清洗设备(上海盛美)已经开发成功,正在客户端进行验证;3)在7nm领域:7nm的介质刻蚀机已被中微半导体开发成功。此外,中电科电子装备生产的8英寸CMP设备已在客户端进行验证;上海微电子也已经实现90nm光刻机的国产化。我们认为,先进制程产线为保证产品良率,目前仍将以采购海外设备为主,待国产设备通过客户验证且下游客户产能顺利爬坡后,国产设备占比有望提升;而在中低端制程(28nm以上),国产化率有望得到显著提升。 图表2:国产设备覆盖情况设备光刻机硅刻蚀刻蚀机金属刻蚀介质刻蚀薄膜沉积设备PVDCVD氧化扩散炉离子注入机化学机械平坦化清洗机本土企业上海微电子北方华创北方华创中微半导体北方华创北方华创沈阳拓荆北方华创中电科电子装备中电科电子装备华海清科北方华创上海盛美0.13μm√√√√√√√√√√90nm√√√√√√√√√√65nm√√√√√√√√45nm√√√√√√√√28nm√√√√√√√√14nm√√√√√√√7nm√资料来源:公司官网,。注:打勾表示设备已经开发成功,已经量产或进入客户端验证图表3:中国半导体新增产能分布(2017)图表4:半导体设备投资分布31%69%0%<=28nm28nm-90nm8-inch8%5%11%5%3%7%1%2%22%15%2%19%氧化扩散炉光刻机刻蚀机离子注入机薄膜沉积设备CMP设备清洗设备过程控制设备硅片制造、光罩制造后道测试设备封装设备其他资料来源:彭博资讯,资料来源:Gartner,推荐关注企业图表5:推荐关注企业业绩回顾及预测BBGTicker公司名称营业收入(百万美元)净利润(百万美元)FY2016FY2017FY2018EFY2019EFY2016FY2017FY2018EFY2019E海外公司AMATUS应用材料10,82514,53717,24516,8731,7803,5254,5283,998GrowthYoY12%34%19%-2%25%98%28%-12%ASMLNA阿斯麦7,52110,22712,34913,2401,5372,4032,9063,427GrowthYoY8%36%21%7%6%56%21%18%LRCXUS拉姆研究5,9958,01411,07710,0019121,6592,9852,331GrowthYoY12%34%38%-10%39%82%80%-22%8035JT东京电子7,39810,20611,85411,8401,1131,8532,2702,259GrowthYoY34%38%16%-0.1%64%66%23%-0.5%KLACUS科磊半导体2,9853,4804,0374,3317279341,2601,355GrowthYoY6%17%16%7%92%28%35%8%中国公司002371CH北方华创234.2320.9487.3707.713.418.134.855.0GrowthYoY33%37%52%45%47%35%92%58%300604CH长川科技17.926.042.663.56.07.311.417.5GrowthYoY22%45%64%49%66%21%57%54%300567CH精测电子75.6129.2213.7313.414.224.138.457.7GrowthYoY25%71%65%47%29%69%59%50%522HKASM太平洋1,826.82,246.52,526.42,452.4187.7361.0397.0354.7GrowthYoY10%23%12%-3%53%92%10%-11%资料来源:万得资讯,彭博资讯,,注:精测电子及ASMPACIFIC为中金覆盖,其余使用市场一致预期,拉姆、东电、科磊FY2018为实际数据 图表6:推荐关注公司估值表TickerCompanyCICCRatingM/CapUSDmnPrice2018/10/19TargetPriceP/E2018E2019EEPSGrowth2018E5DPricechange1M3MYTDAMATUS应用材料NA33,706.7434.29NA7.448.4328.5%1.8%-12.2%-26.2%-32.2%ASMLNA阿斯麦NA75,118.70175.76NA25.8521.9220.9%0.8%-7.0%-18.3%2.0%LRCXUS拉姆研究NA22,880.61145.20NA7.679.8279.9%1.1%-5.2%-18.0%-19.9%8035JT东京电子NA21,778.4714,755.00NA9.599.6422.5%11258.5%10046.5%8732.7%8042.9%KLAC.US科磊半导体NA14,177.8590.81NA11.2510.4634.8%-2.6%-11.4%-15.8%-11.9%全球半导体设备-平均12.6412.4538.0%2815.6%2505.5%2167.5%1998.2%全球半导体设备-中位数8.639.7325.5%1.4%-6.1%-18.2%-9.0%002371CH北方华创NA2,679.895.85NA77.0248.7191.9%0.5%-14.1%-21.8%-2.0%300604CH长川科技NA655.284.42NA57.6337.3856.7%-11.6%-26.5%-24.6%-5.8%300567CH精测电子BUY1,286.287.8613.5333.5022.2759.4%-7.0%-20.7%-29.4%-17.9%522HKASM太平洋BUY4,034.3678.2096.4710.1611.378.9%1.2%-1.7%-20.0%-26.3%中国半导体设备-平均44.5829.9354.2%-4.2%-15.7%-24.0%-13.0%中国半导体设备-中位数45.5629.8358.1%-3.2%-17.4%-23.2%-11.8%资料来源:万得资讯,彭博资讯,,注:精测电子及ASMPACIFIC为中金覆盖,其余公司使用市场一致预期,拉姆、东电、科磊FY2018为实际数据;价格单位为美元。►AMAT(AMATUS):美国应用材料公司创立于1967年,总部位于美国加州圣克拉拉,全职雇员19,000人,是全球精密材料工程的领导厂商,提供全球半导体、平面显示器、太阳能光电(PV)等行业所需的相关设备及服务,是目前全球最大的半导体设备和服务供应商。根据公司财报及市场一致预期,2017/18e营业收入145.4/172.5亿美元,同比增长34%/19%,净利润35.3/45.3亿美元,同比增长98%/28%。市场预期2019年行业进入下行周期,预计公司收入下滑2%,净利润同比下滑12%。近期由于公司3Q18营收展望不及预期,股价在2Q18财报公布之后跌幅较大。图表7:AMAT收入增速及利润率变化图表8:AMAT市盈率区间50%40%30%20%10%0%-10%50%(USDmn)45%40%35%30%25%20%15%10%5%0%120100806040202010-01-082010-07-082011-01-082011-07-082012-01-082012-07-082013-01-082013-07-082014-01-082014-07-082015-01-082015-07-082016-01-082016-07-082017-01-082017-07-082018-01-082018-07-080AMATrevenuegrowthYoY(LHS)AMATNPM(RHS)AMATgrossmargin(RHS)Price5x10x15x20x25x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►ASML(ASMLNA):总部位于荷兰的阿斯麦(ASML)成立于1984年,是全球芯片光刻设备市场领导者,市场份额占全球光刻设备80%以上,处于绝对垄断地位。目前,7nm/5nm等先进制程产品均为ASML独家供应。根据公司财报及市场一致预期,2017/18年实现营业收入102.3/123.5亿美元,同比增长36%/21%,净利润24.0/29.1亿美元,同比增长56%/21%。受益于光刻机产品的绝对垄断地位及产量的限制,公司在下行周期内业绩受拖累较小。2019年预计实现收入132.4亿美元,同比增长18%。2018年下半年,市场对半导体设备投资的预期有所回调,加上8月底格罗方德宣布将无限暂停7nm制程工艺研发,市场担忧对ASML光刻机需求减少,公司股价随后出现一定下跌。 图表9:ASML收入增速及利润率变化图表10:ASML市盈率区间70%60%50%40%30%20%10%0%-10%-20%-30%-40%60%(USDmn)50%40%30%20%10%0%250200150100502010-01-082010-07-082011-01-082011-07-082012-01-082012-07-082013-01-082013-07-082014-01-082014-07-082015-01-082015-07-082016-01-082016-07-082017-01-082017-07-082018-01-082018-07-080ASMLrevenue(LHS)ASMLNPM(RHS)ASMLgrossmargin(RHS)Price5x12.5x17.5x25x30x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►LAMRESEARCH(LRCXUS):拉姆研究创立于1980年,总部位于美国加州弗里蒙特,全职雇员7,300人,是晶圆制造设备和服务的主要供应商之一,是目前全球第三大半导体生产商。根据公司财报及市场一致预期,2017/2018e公司营业收入达80.1/110.8亿美元,同比增长34%/38%。2019年行业景气度转差,市场预计公司营业收入同比降幅达10%,净利润下降22%。虽然公司FY18财报收入超预期,但是由于对出货量的指引较为低迷,同时各大公司纷纷预测下季度NAND闪存芯片销量降低,市场预计相关设备销售也会减少,股价自3月公布财报以来表现疲软。图表11:LAMRESEARCH收入增速及利润率变化图表12:LAMRESEARCH市盈率区间70%60%50%40%30%20%10%0%50%(USDmn)40%30%20%10%0%400350300250200150100502010-01-082010-07-082011-01-082011-07-082012-01-082012-07-082013-01-082013-07-082014-01-082014-07-082015-01-082015-07-082016-01-082016-07-082017-01-082017-07-082018-01-082018-07-080-10%-10%LRCXrevenuegrowthYoY(LHS)LRCXNPM(RHS)LRCXGPM(RHS)股价5x10x15x20x25x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►东京电子(8035JP):东京电子创立于1951年4月,总部位于日本东京,主要产品为半导体成膜设备、半导体蚀刻设备和用来制造平板显示器液晶的设备。同类产品比重在日本占第一位,在世界上占第二位。根据公司财报及市场一致预期,2017/2018e公司营业收入达102.1/118.5亿美元,同比增长38%/16%。市场预计2019年公司营业收入同比下降0.1%,净利润同比下降0.5%。2018年下半年以来,市场担心半导体周期下行,东京电子股价近期跌幅也比较明显。 图表13:东京电子收入及利润率变化图表14:东京电子市盈率区间80%60%40%20%0%-20%-40%-60%50%(USDmn)40%30%20%10%0%-10%-20%-30%-40%50,00045,00040,00035,00030,00025,00020,00015,00010,0005,0002010-01-082010-07-082011-01-082011-07-082012-01-082012-07-082013-01-082013-07-082014-01-082014-07-082015-01-082015-07-082016-01-082016-07-082017-01-082017-07-082018-01-082018-07-080TokyoElectronrevenuegrowthYoY(LHS)TokyoElectronNPM(RHS)Price5x12.5x17.5x25x30x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►科磊半导体(KLACUS):成立于1976年,总部位于美国加州硅谷,主要产品为半导体前道量测设备,半导体设备营收排名全球第五。根据公司财报及市场一致预期,2017/2018e公司营业收入达34.84/40.4亿美元,同比增长17%/16%。市场预计2019年公司营业收入同比增长7%,净利润同比增长8%。9月初,科磊半导体下调今年下半年出货预测,造成股价回调。图表15:科磊半导体收入增速及利润率变化图表16:科磊半导体市盈率区间35%30%25%20%15%10%5%0%-5%-10%-15%70%(USDmn)60%50%40%30%20%10%0%-10%-20%250200150100502010-01-082010-07-082011-01-082011-07-082012-01-082012-07-082013-01-082013-07-082014-01-082014-07-082015-01-082015-07-082016-01-082016-07-082017-01-082017-07-082018-01-082018-07-080KLACrevenuegrowthYoY(LHS)LRCXNPM(RHS)LRCXGPM(RHS)Price5x12.5x17.5x25x30x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►北方华创(002371CH):北方华创科技集团股份有限公司于2001成立。公司半导体装备覆盖除光刻机以外的所有半导体制造设备,是国内技术最先进、设备覆盖面最广的半导体设备公司,目前LED制造设备收入占比较高。2017年营业收入22.2亿元,同比增长37.0%,净利润1.3亿元,同比增长35.2%。未来两年中国晶圆厂密集投产,半导体制造设备销售额有望迎来快速增长,万得一致预测显示2018年预计公司营业收入将增长52%/45%,归母净利润将增长92%/58%。 图表17:北方华创收入增速利润率变化图表18:北方华创市盈率区间1.210.80.60.40.20-0.260%(USDmn)1Q142Q143Q144Q141Q152Q153Q154Q151Q162Q163Q164Q161Q172Q173Q174Q171Q182Q1850%40%30%20%10%0%140120100806040202012-01-062012-07-062013-01-062013-07-062014-01-062014-07-062015-01-062015-07-062016-01-062016-07-062017-01-062017-07-062018-01-062018-07-060-0.4-10%北方华创收入同比增长率毛利率(右轴)净利率(右轴)Price30x60x90x120x150x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►长川科技(300604CH):公司成立于2008年,作为国内集成电路测试装备龙头企业,是国内为数不多的可以自主研发和生产集成电路测试设备的企业。公司测试机和分选机在核心性能上已达到国内领先并接近国外先进水平。2017年营业收入1.8亿元,同比增长44.8%,净利润0.5亿元,同比增长21.3%。半导体检测设备销售额预计将在未来两年将迎来快速增长,市场预计2018/19年公司营业收入将增长64%/49%,归母净利润将增长57%/54%。图表19:长川科技收入及利润率变化图表20:长川科技市盈率区间(USDmn)1470%601260%501050%40840%30630%20420%210%1001Q172Q173Q174Q171Q182Q18长川科技收入毛利率(右轴)净利率(右轴)0%02017-04-212017-07-212017-10-212018-01-212018-04-212018-07-21Price30x45x55x65x75x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►精测电子(300567CH):精测电子成立于2006年,是国内面板检测龙头企业,公司牵手IT&T布局后道测试设备,成立上海半导体子公司进军前道过程控制测试领域。2017年营业收入9.0亿元,同比增长70.8%,归母净利润1.7亿元,同比增长69.1%。随着公司在面板检测领域产品线的延伸,并进军半导体检测领域,我们预计2018年公司营业收入将增长65%/47%,归母净利润将增长59%/50%。 图表21:精测电子收入及利润率变化图表22:精测电子市盈率区间(USDmn)6070%1205060%10050%408040%306030%204020%1010%2000%3Q164Q161Q172Q173Q174Q171Q182Q1802016-11-252017-03-252017-07-252017-11-252018-03-252018-07-25精测电子收入毛利率(右轴)净利率(右轴)Price5x17.5x25x35x45x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►ASMPACIFIC(522.HK):ASMPT成立于1975年,其总部设在中国香港特别行政区,在中国深圳,新加坡和马来西亚拥有生产和研发基地。ASMPT是全球卓越的,为半导体、光电及光电子产业,提供完整封装设备和制程解决方案的供货商。2017年营业收入147.2亿元,同比增长22.9%,净利润23.5亿元,同比增长92.3%。ASMPT后道封测设备占比接近50%,目前全球排名第1,市占率达到25%,下游主要应用有光学(CIS/AA)、IC以及LED。SMT设备占收入接近40%,目前全球排名第1,市占率达到25%。我们认为公司的几大成长动能,包括3Dsensing在安卓阵营的应用、先进封装以及LED在2019年表现都相对比较疲弱,收入和净利润有可能会倒退。因此我们将2019年收入/净利润下调8.5%/11.5%,并且将目标价下调39.7%至96.47港币。图表23:ASMPACIFIC收入增速及利润率变化图表24:ASMPACIFIC市盈率区间70%60%50%40%30%20%10%0%-10%-20%-30%-40%50%(USDmn)45%40%35%30%25%20%15%10%5%0%250200150100502010-01-082010-07-082011-01-082011-07-082012-01-082012-07-082013-01-082013-07-082014-01-082014-07-082015-01-082015-07-082016-01-082016-07-082017-01-082017-07-082018-01-082018-07-080ASMPTrevenuegrowthYoY(LHS)ASMPTNPM(RHS)ASMPTGPM(RHS)Price5x11x17x23x29x资料来源:彭博资讯,资料来源:万得资讯,彭博资讯,►中微半导体(未上市):中微半导体成立于2004年,是一家面向全球的微观加工高端设备公司。主要产品为刻蚀设备。中微半导体的介质刻蚀机已经实现了7nm的生产,已经进入到台积电7nm的供应体系,是中国目前唯一能够进入台积电供应链体系的大陆半导体设备商,同时公司5nm刻蚀设备也在研发中。 切割后封装测试将线路图光刻在晶圆上线路图设计从石英砂做成硅晶圆划片、装片电性测试缝合老化测试半导体设备:技术门槛高,国产替代空间广阔半导体设备投入大、门槛高、种类多根据工艺前、中、后的顺序,半导体产业链可分为设计、制造、封装和测试四大主体,此外还有最上游的硅片制造,每个环节对应不同的设备,其中半导体制造环节的设备投入占比达到半导体设备总投入的80%左右,测试设备为8%,封装设备为7%,而其他环节如硅片制造、光罩制造等环节则占比5%。原材料:硅晶圆设备:制造设备IC设计IC封装测试逻辑设计逻辑设计图形设计塑封切筋成型电镀抛光离子注射IC制造氧化刻蚀沉积光刻用户需求设备:封装测试设备:硅片设备盛美半导体至纯科技将IC应用于下游(如3C电子产品,汽车电子,智能制造)硅片制造拉晶切片研磨刻蚀抛光晶圆检查图表25:半导体产业链各环节示意图北方华创长川科技晶盛机电中微半导体精测电子资料来源:中国半导体行业协会,分种类来看,预计2018e/2019e全球半导体制造设备销售额为502/540亿美元;封装设备销售额为44/47亿美元;测试设备销售额为50/54亿美元;其他设备(包括硅片设备、光罩制造等)销售额为31/34亿美元。具体来看:►硅片设备:在硅片制造环节,多晶硅经过一系列的处理,最终形成用于半导体器件制造的硅片。其主要步骤包括拉晶、切片、磨片、倒角、刻蚀、抛光和清洗检查等,涉及的设备包括单晶炉、磨切削设备、刻蚀设备、抛光设备、清洗设备和检测设备。►半导体制造设备:半导体制造厂一般分为6个独立的生产区,分别是扩散、光刻、刻蚀、薄膜、离子注入和抛光,其中过程控制环节贯穿于制造全程,主要涉及的生产设备有七种,分别是氧化扩散炉、光刻机、刻蚀机、离子注入机、薄膜沉积设备、抛光机和清洗机。其中光刻机、刻蚀机和薄膜沉积设备的价值量最大,生产难度也最高,三者总计占设备投资总额的比例接近70%。 设备名称设备功能设备投资比例2018E(亿美元)2019E(亿美元)氧化扩散炉氧化扩散炉是半导体生产线前工序的重要工艺设备之一,用于大规模集成电路等行业的扩散、氧化、退火和合金等工艺。3.0%1516图表26:各半导体制造设备功能、投资比例及市场空间预测光刻机光刻机可以实现半导体器件在硅片表面的构建过程,是半导体芯片生产流程中最复杂、最关键的设备。18.2%9198刻蚀机刻蚀机可以按照掩模图形或设计要求对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离,贯穿整个晶圆制造的前后道制程23.8%120129。离子注入机离子注入机由离子源得到所需要的离子,经过加速得到高能量的离子束流,可用做半导体材料、大规模集成电路和器件的离子注2.9%1516入,用于表面改性和制膜等。薄膜沉积设备薄膜沉积设备可以实现制备高纯、高性能固体薄膜的功能。26.9%135145CMP抛光设备CMP抛光设备可以实现晶圆表面的全局平坦化、能去除表面缺陷3.8%1921、改善金属台阶覆盖及其相关可靠性、使更小的芯片尺寸增加层数变为可能。清洗设备清洗设备主要用于去除芯片制造中上一道工序所遗留的超微细颗粒污染物、金属残留、有机物残留物,去除光阻掩膜或残留。6.6%3336过程控制设备在晶圆加工制造过程中对产品的性能进行精确评估,以确保产品满足规范要求。13.1%6671其他其他设备还包括涂胶显影设备、自动化设备和其他辅助设备等。1.6%89合计100.0%502540资料来源:SEMI,中国半导体行业协会,公司官网,►封装设备:半导体封装设备可分为晶圆级设备和芯片级设备,分别对应先进封装和传统封装。晶圆级封装主要包括晶片取放、塑封、锡膏印刷、锡球排放、器件分离、检测封装等主要六个环节。传统封装主要包括固晶、焊线、塑封、切筋、成型、测试等主要环节。晶圆级封装设备以产品应用为导向,尚在发展阶段,还未出现标准化流程;传统封装流程稳定,但对精度和速度的要求不断提高,每个环节向各环节头部设备供应商集中。图表27:晶圆级封装设备流程资料来源:ASMPACIFIC公司官网,图表28:传统封装设备公司一览(按工艺流程)固晶焊线塑封切筋/成型测试ASMPT(522HK)BESI(BESI.AS)Shinkawa(6274JP)Fasford(未上市)Parasonic(6752JP)CANON(7751JP)ASMPT(522HK)ASMPT(522HK)KNS(KLIC.US)Kaijo(未上市)TOWA(6315.JP)BESI(BESIAS)TSPrecision(未上市)Sumitomo(8053JP)ASMPT(522HK)BESI(BESIAS)Micross(未上市)SemiPack(未上市)艾科瑞思(872600CH)ASMPT(522HK)Chroma(2360TT)Cohu(COHUUS)Epson(6724JP)Teradyne(TERUS)Advantest(6857JP)长川科技(300604CH)资料来源:公司官网,►检测设备:按制造工艺的先后顺序可以将检测设备分为前道过程控制设备和后道测试设备,过程控制贯穿晶圆加工制造全程,下游主要是晶圆代工厂和IDM,而后道测试主要是对硅片成品进行检测,下游主要是封测厂、代工厂和IDM等。1)前道过程控制检测是指在晶圆加工制造过程中对产品的性能进行精确评估,以确保产品 满足规范要求。过程控制检测发生在几乎每一步工序之后,以确保该工序后的产品参数符合要求。主要包括参数的量测和缺陷的检测。前道过程控制检测设备主要包括图形光学检查设备、掩膜检查设备、薄膜测量设备、关键尺寸扫描电子显微镜等,前道过程控制检测设备占半导体设备比例约为11%。2)后道测试是对待测器件施加电学激励,通过比较器件的输出响应和预期参数,以确定或评估集成电路元器件的功能和性能。用于集成电路测试的设备主要包括三大类,分别是测试机(ATE)、分选机和探针台,此外还包括连接器件如探针卡等。后道测试设备占半导体设备比例约为8%。图表29:各半导体检测设备简介、占比及市场空间预测过程分类用途设备占比(%)2019E市场空间(百万美元)关键尺寸扫描电子显微镜10%727掩模检查设备13%918测量薄膜厚度、膜应力、掩模测量设备2%122量测设备折射率、关键尺寸等参数镀膜测量设备4%259薄膜测量设备12%824光学测量设备1%43无图形晶圆检测设备5%377前道过程控制设备有图形晶圆光学检测设备32%2262缺陷检测设备检测晶表面有无缺陷有图形晶圆电子束检测设备3%195缺陷检测设备3%200缺陷检测分类扫描电子显微镜5%370光学缺陷检测分类设备1%65过程控制软件系统控制过程控制软件2%117其他其他设备8%583合计100%7064测试机检测芯片功能和性能测试机71%3479分选机在设计验证和封装后的芯片级成品测试环节,搭配测试机使用分选机11%539后道检测设备探针台硅片级的CP测试中,搭配测试机使用探针台18%882合计100%4900总计11964资料来源:SEMI,Gartner,全球市场:高度集中,主要被外资所占据全球半导体设备市场格局高度集中,基本被外资占据。2017年全球半导体设备市场规模566亿美元,前五大半导体设备公司2017年半导体设备营业收入合计占比超过70%,市场高度集中。►硅片设备:全球五大半导体硅片供应商为日本信越(Shin-Estu)、日本SUMCO、台湾环球晶圆、德国Siltronic和韩国Siltronic,五大供应商全球市场占有率达90%以上。在技术水平更高的12英寸硅片市场,五大供应商市占率超97%。目前硅片制造设备也主要被日韩、欧美企业垄断,单晶炉主要厂商有德国PVA,美国Kayex和日本Ferrotec等;切片设备主要厂商有瑞士M&B,日本东京精密,日本齐藤精机等;研磨机主要厂商有德国IKA和日本齐藤精机等;倒角机主要厂商有德国博世、日本日立等;刻蚀设备主要厂商有美国泛林等;抛光机主要厂商有荷兰ASM,德国玛托等;清洗设备主要厂商有东京电子等;测试设备主要厂商有日本advantest等。 图表30:全球硅片设备公司情况工艺设备国外公司国内公司设备行业现状拉晶拉晶炉德国PVA美国Kayex日本Ferrotec晶盛机电南京京能北方华创以进口设备为主,8寸单晶炉逐步开始国产化替代,12寸单晶炉尚无批量供货切割机切片滚圆机截断机日本东京精密日本齐藤精机瑞士M&B晶盛机电电子科技集团45所国内涉足较晚,技术与国外尚存较大差距磨片研磨机德国IKA齐藤精机倒角倒角机德国博世日本日立晶盛机电以进口设备为主-刻蚀刻蚀机美国泛林北方华创中微半导体国产硅刻蚀机代表为北方华创,介质刻蚀机代表为中微半导体抛光抛光机晶盛机电国内涉足较晚,以进口设备为主清洗清洗设备东京电子北方华创电子科技集高端设备以进口为主团45所晶圆检查测试设备日本Advantest-以进口设备为主荷兰ASM资料来源:公司公告,公司官网,►制造设备:从半导体制造设备细分来看,每一种工艺设备基本都是前三位的企业占据了全球约90%的市场份额。细分产品举例来看,1)涂胶显影设备主要生产厂家为日本TEL,日本Screen和韩国SEMES,市场基本上被TEL占据,前三大厂家的市场占有率合计为99%。2)刻蚀设备的主要生产厂家为美国LAM、日本TEL和美国AMAT,前三大厂家的市场占有率合计为88%。3)氧化扩散炉的主要生产厂家为日本TEL、日本Hitachi和美国AMAT,前三大厂家的市场占有率合计为91%。4)清洗设备的主要生产厂家为Screen、SEMES和日本TEL,前三大厂家的市场占有率合计为88%。涂胶显影设备刻蚀氧化扩散设备清洗设备制造设备图表31:2012年主要半导体设备市场集中度TEL88%LAM45%TEL47%Screen46%AMAT19%SCREEN7%TEL26%Hitachi33%SEMES25%ASML17%SEMES5%AMAT17%AMAT11%TEL17%TEL14%Others1%Others12%Others9%Others12%Others50%Top399%Top388%Top391%Top388%Top350%Top399%Top388%Top391%Top388%Top350%Others1%Others12%Others9%Others12%Others50%资料来源:Gartner,►封装设备:全球先进封装晶圆级封装采用率放缓,相关封装设备非标化居多,以领军企业如台积电、英特尔、三星等的应用为主要推动力,传统封装设备供应商由单一产品向全面解决方案进一步整合,行业集中度进一步提高。全球半导体后道封测设备企业中ASMPacific为领头羊,布局封测各流程解决方案,2017年约占全球封测设备市场的25%。固晶设备供应商BESI收购行业另外两大竞争对手ESEC和Datacon,布局大小芯片尺寸全面解决方案,在固晶市场全球占比达44.2%。传统焊线设备Kulicke&Soffa(KNS)收购Alphasem,效仿ASMPacific全方位解决方案策略,布局工艺流程上下游的固晶、SMT等设备。 图表32:全球半导体封装设备分类占比及竞争格局14.1%31.0%31.1%DieattachWirebondPackaging40.7%BesiASMPacific0.6%PlatingOtherAssembly25.0%KNSothers15.7%21.6%20.2%资料来源:VLSI,BESI,ASMPT,KNS,►检测设备:集成电路检测设备在测试精度、测试速度、并测能力、自动化程度和测试可靠性等方面有着较高要求。因此,全球先进测试设备制造技术基本掌握在美国和日本厂商中。全球半导体过程控制设备市场中,科磊半导体占据53%的市场份额,前三大厂商占据高达79%的市场份额。全球后道测试设备市场中,泰瑞达、爱德万、科休和科利登的市场份额分别为35%、26%、7%和7%,前两大厂商合计市场份额为61%,前四大厂商市场份额合计75%。图表33:全球半导体检测设备市场集中度前道过程控制检测设备市场格局(2016)后道测试设备市场格局(2017)3%2%2%1%%%1%12%10%43152%科磊半导体应用材料日立24%%%7%HermesMicrovisionNanometrics1尼康7诺瓦测量LasertecCorporation卡尔蔡司其他26%35%泰瑞达爱德万科休科利登长川科技其他资料来源:Gartner,中国市场:政策大力支持,国产替代大有可为中国产业政策大力支持,国内半导体设备国产化迎来契机产业政策大力支持,国产半导体设备迎来发展机遇。2014年国家集成电路产业投资基金(大基金)设立,大基金一期规模1387亿,其中63%投资到制造环节,20%、10%和7%分别投资到设计、封测和设备材料。此外,国家还给予集成电路企业税收优惠。近两年,国内晶圆厂掀起投资热潮,半导体设备投资占晶圆厂投资额60%以上,晶圆厂的大规模建成投产将会对半导体设备带来爆发性需求。02专项是我国集成电路产业发展的重要指引。为从根本上改变我国半导体制造产业长期以来的落后局面,国务院专门成立了“02专项”小组领导本土半导体产业链核心技术的攻关。“02专项”名称来自于国务院在2006年2月发布的《国家中长期科学和技术发展规划纲要(2006-2020年)》,其中确定了16个重大专项,极大规模集成电路制造技术 及成套工艺位列第2位,简称02专项。其包括了“十二五”期间集成电路制造产业的主要发展项目。02专项是国务院为实现本土半导体产业链核心技术的攻关专门设立的专项科研计划,针对每一类半导体设备确定对应研制单位,项目结题有产业化要求,必须实现产品销售后才可实现正式结项。目前02专项中多个项目已实进入产品线。图表34:02专项对应的设备及主要公司公司具体成果02专项对应项目北方华创刻蚀(Etch)/化学气相沉积(CVD)/物理气相沉积(PVD)/氧化扩散(Furnace)65-45nmPVD设备研发/14nm立体栅等离子体刻蚀机研发及产业化/28-14nm原子层沉积系统(ALD)产品研发及产业化中电科装备离子注射(Implant)/研磨抛光(CMP)90-65nm大角度离子注入机研发及产业化/45-22nm低能大束流离子注入机研发及产业化/28-14nm抛光设备及300nm超薄晶圆减薄抛光一体机研发与产业化中微半导体介质刻蚀机(Etch)65-45nm、32-22nm、22-14nm等三项等离子介质刻蚀设备产品研制和产业化天津华海清科研磨抛光(CMP)28-14nm抛光设备及工艺、配套材料产业化北京中科信离子注射(Implant)90-65nm大角度离子注入机研发及产业化项目沈阳拓荆等离子化学气相沉积(PE-CVD)90-65nm等离子体增强化学气相沉积设备研发与应用上海微电子光刻机(Photolithography)光刻机双工件机台系统样机研发项目资料来源:公司年报,中国大陆半导体设备销售额占比快速上升。根据SEMI统计,从2017年到2020年,预计全球新增半导体产线62条,其中26条位于中国大陆,占总数的42%。受益于晶圆厂在中国大陆大规模投建,中国半导体设备销售额占全球比例快速上升,2017年占比达14%,SEMI预计2018年中国大陆将成为全球半导体投资增速最快的市场,占比提升至19%。而到2019年,中国大陆半导体设备销售额占比将达到25%,超过韩国成为全球第一大设备市场。图表35:中国半导体设备销售额占比快速上升2017年半导体设备销售额分地区占比中国大陆半导体设备销售额占全球比例20%6%11%15%10%6%日本北美欧洲韩国中国台湾中国大陆其他地区30%25%20%15%10%5%20052006200720082009201020112012201320142015201620172018E2019E0%32%资料来源:万得资讯,预计2018/19年,中国大陆的半导体设备销售将同比增长43.5%和46.7%至803亿元和1,178亿元。我们预计2018/19e中国大陆半导体制造设备的市场空间预计为642/942亿元,其中过程控制设备的市场空间预计为84/123亿元;预计2018/19e中国大陆半导体后道测试设备的市场空间为64亿元/94亿元;预计2018/19e中国大陆半导体封装设备的市场空间为56亿元/82亿元。 图表36:预计2018年中国半导体设备销售额同比增长约43.5%(十亿美元)20181614121086420中国大陆半导体设备销售额预测350%300%250%200%150%100%50%0%-50%-100%20052006200720082009201020112012201320142015201620172018E2019E中国大陆半导体设备销售额YoY资料来源:SEMI,图表37:2018/2019e中国大陆半导体设备销售额预测单位(亿元)占比20172018E2019E制造设备80%448642942其中:过程控制设备10.5%5984124封装设备7%395682测试设备8%456494其他设备5%284059合计100%5608031178增速(%)27.4%43.5%46.7%资料来源:SEMI,中国企业加速追赶,但半导体设备整体国产化率仍低经过多年培育,国产半导体设备已经取得重大进展,整体水平达到28nm,并在14nm和7nm实现了部分设备的突破。我们认为,先进制程产线为保证产品良率,目前仍将以采购海外设备为主,待国产设备通过客户验证且下游客户产能顺利爬坡后,国产设备占比有望提升;而在中低端制程,国产化率有望得到显著提升。►硅片设备:国产设备由于起步较晚,在硅片制造环节仍处于发展阶段,代表厂商有晶盛机电、北方华创、中微半导体等。单晶炉是最硅片设备中主要设备,国内的单晶炉生产商主要有晶盛机电、京运通、天龙光电和北方华创等。其中,晶盛机电成功研制出目前国内第一台具有完全自主知识产权的全自动单晶炉,逐步开始实现国产化替代。►制造设备:国内半导体制造设备主要厂商有北方华创、中微半导体、沈阳荆拓、中电科电子装备公司等。在国家02专项的推动下,国内半导体制造设备在各个细分领域均有所突破。1)氧化扩散炉:国内主要厂商是北方华创,目前已经批量应用于中芯国际、华力微电子、长江存储等下游客户。2)光刻机:从技术的角度看,目前最先进的EUV光刻机全球仅有ASML能生产,上海微电子目前的技术只能做到90nm,较ASML的距离还非常远。3)刻蚀设备:国内的北方华创在硅刻蚀机领域已经实现14nm的突破,同时在12英寸金属硬掩膜刻蚀机领域也实现了14nm的突破。中微半导体的介质刻蚀机水平已经达到7nm,同时5nm的技术也在研发。4)CVD设备:国内目前沈阳荆拓自主研发的12英寸PECVD设备通过了中芯国际的产线验证并实现量产,北方华创的14nm产品正在国内先进工艺生产线进行验证。5)PVD设备:北方华创目前的技术达到14nm,是国内PVD设备的领先者,其28nm/12英寸PVD设备已经成为中芯国际的Baseline设备。6)清洗设备:北方华创在清洗设备领域研 发多年,可以生产多种类型的单片清洗设备和槽式清洗设备。其Saqua系列单片清洗机可以满足中芯国际28nm制程的生产要求。盛美半导体独立研发出SAPS技术和TEBO技术,可以实声波清洗零损伤,已经进入SK海力士、长江存储、上海华力等先进产线。7)离子注入设备:目前国内能生产离子注入机的企业有中电科电子装备公司,2017年其中束流离子注入机已经在中芯国际实现了稳定流片200万片,目前中电科的大束流离子注入机已经进驻中芯国际。8)CMP抛光设备:中电科装备的8英寸CMP设备已经进入中芯国际生产线进行工艺验证,12英寸的设备也在研发当中。►封装设备:传统封装设备有望突破。传统封装设备精度要求通常在微米级,随着国内半导体行业运动控制技术的进步和经验的积累,传统封装设备技术门槛在不断下降。苏州艾科瑞思专注于高性能固晶机的研发制造,主要针对集成电路、微波组件、高速光模块、MEMS传感器、摄像头模组、IGBT模块、二极管等领域产品。►检测设备:前道过程控制检测:国产化率仍然偏低。目前上海睿励在光学测量方面实现国产化,精测电子也已在前道检测进行布局,我们预计未来国产厂商有望在部分细分领域取得突破。后道测试设备:目前长川科技已经在模拟/数模混合电路的测试机和分选机领域实现进口替代,精测电子也有产品在研,替代空间广阔。国产测试机领先企业长川科技2017年测试机/分选机在全球市场份额中仅占0.7%/2.8%,未来发展空间非常大。 关注实现进口替代的优秀公司图表38:主要中国半导体设备公司收入利润一览WindTicker公司名称营业收入(百万元)净利润(百万元)FY2016FY20171H18FY2018EFY2019EFY2020EFY2016FY20171H18FY2018EFY2019EFY2020E300316.SZ晶盛机电1,091.51,948.81,244.03,177.53,880.24,694.9203.7386.6285.1704.1899.9899.9GrowthYoY84%79%54%63%22%21%95%90%101%82%28%0%002371.SZ北方华创1,622.42,222.81,394.73,375.94,902.66,852.292.9125.6119.0241.0381.1381.1GrowthYoY33%37%33%52%45%40%47%35%125%92%58%0%ACMR.O盛美半导体188.9251.9211.3483.2642.9n.a.7.1-2.23.034.786.3n.a.GrowthYoY-12%33%112%92%33%n.a.-81%-131%116%n.a.149%n.a.603690.SH至纯科技263.3369.1188.4553.0837.51,149.045.349.319.190.6141.0141.0GrowthYoY27%40%19%50%51%37%156%9%-24%84%56%0%300604.SZ长川科技124.1179.8115.5294.8440.2629.841.450.325.078.8121.4121.4GrowthYoY22%45%77%64%49%43%66%21%48%57%54%0%300567.SZ精测电子524.0895.1538.21,480.52,171.02,654.098.7166.8117.3266.0400.1484.3GrowthYoY25%71%75%65%47%22%29%69%124%59%50%21%0522.HKASMPACIFIC12,681.715,588.18,108.016,117.715,645.416,620.01,295.72,492.11,178.02,302.82,533.02,263.1GrowthYoY10%23%45%3%-3%6%53%92%-6%-8%10%-11%资料来源:公司官网,,注:精测电子及ASMPACIFIC为中金覆盖,其余公司使用市场一致预期硅片设备:国内厂商有望摆脱进口依赖晶盛机电:►国内晶体生长、加工装备领军企业。主营产品为全自动单晶生长炉、多晶硅铸锭炉、蓝宝石晶体炉、区熔硅单晶炉、单晶硅棒切磨复合加工一体机、多晶硅块研磨一体机、硅棒单线截断机、硅块单线截断机、蓝宝石晶锭、蓝宝石晶片、LED器件检测分选装备、LED灯具自动化生产线等。公司产品主要应用于太阳能光伏、集成电路、LED等领域。2017年收入和归母净利润分别为19.49亿元和3.87亿元,同比增长78.6%和89.8%,►强势进军半导体硅片业务,有望实现单晶炉国产化。公司2017年出资5亿元参股中环领先半导体材料有限公司,进军半导体业务。目前公司在半导体领域实现了8~12英寸大硅片制造用晶体生长及加工的核心装备国产化。今年7月公司中标中环领先半导体硅片项目,半导体单晶炉订单金额3.6亿,公司8英寸半导体单晶炉已经获得客户认可,未来有望打破国内12寸硅片完全依赖进口的局面。制造设备:逐步实现28nm的进口替代,并在14nm领域取得部分设备的突破。北方华创:►中国半导体制造设备龙头企业:北方华创是由七星电子和北方微电子于2016年战略重组而成。公司主营业务包括半导体装备、真空装备、新能源锂电装备和电子元器件四大部分。近年来,半导体装备占收入比重逐渐提高,公司净利润也呈加速增长态势。公司半导体装备覆盖除光刻机以外的所有半导体制造设备,是国内技术最先进、设备覆盖面最广的半导体设备公司。公司的实际控制人是北京电控是北京国有资本经营管理中心全资子公司,国资背景有助于公司在半导体设备进口替代浪潮中取得资金优势并斩获订单。►研发投入造就技术领先:公司2016年完成重组之后,研发投入占营业收入一直维持在33%以上,远高于国外同行业公司。公司承担着多项国家02重大科技专项子课题的研发任务,先后完成了12英寸90-28nm制程刻蚀机、PVD、氧化/扩散炉、清洗机等设备的技术攻关工作,相关产品已处于产业化初期阶段。公司的12英寸14nm制程刻蚀机、PVD、ALD等集成电路制造设备已经进入下游客户端进行验证。 图表39:北方华创有关设备进入国内半导体生产线情况设备北方华创重点机型成就氧化扩散炉28nm/12英寸立式氧化炉THEORISO302通过技术验证,已经批量应用于中芯国际、上海华力和长江存储等主流芯片生产线刻蚀设备28nm硅刻蚀设备和14nm硅刻蚀设备28nm设备已成为中芯国际baseline机台,14nm设备技术已突破,进入上海集成电路研发中心验证用于8英寸晶圆的金属刻蚀设备成功进入中芯国际的产线PVD设备用于28nm/300mm晶圆生产的HardmaskPVD设备已成为中芯国际的Baseline设备,代表着国产集成电路工艺设备的最高水平,成功进入国际供应链体系CVD设备SES630A硅APCVD和THEORIS302立式LPCVD在国内先进工艺生产线进行验证清洗设备Saqua系列立式单片清洗设备已进入中芯国际28nm生产线资料来源:公司官网,中微半导体:►技术底蕴深厚的半导体核心设备领先企业。中微半导体成立于2004年,是一家面向全球的微观加工高端设备公司,经营范围包括研发薄膜制造设备和等离子体刻蚀设备、大面积显示屏设备等。公司管理层技术底蕴深厚,大多有任职于应用材料、拉姆研究和英特尔等全球半导体一流企业的经验。►主要产品为刻蚀设备。中微半导体先后承担并圆满完成65-45纳米、32-22纳米、22-14纳米等三项等离子介质刻蚀设备产品研制和产业化。公司自主研发的等离子体刻蚀设备PrimoD-RIE可用于加工64/45/28纳米氧化硅、氮化硅等电介质材料,介质刻蚀设备PrimoAD-RIE可用于22nm及以下芯片加工,均已进入国内先进产线。中微半导体的介质刻蚀机已经实现了7nm的生产,同时5nm也在研发。盛美半导体:►国内半导体清洗设备主要供应商。盛美半导体(ACMResearch)于1998年在美国硅谷成立,主要研发电抛光技术,2006年成立上海子公司,专注于半导体清洗设备。2017年11月4日公司在美国纳斯达克上市。2017年公司营业收入36.5百万美元,同比增长33.2%,其中90%以上的营业收入来自于半导体清洗设备。公司注重研发,2017年研发投入占营业收入比例为14.1%。►独立自主研发塑造产品竞争力。由于声波清洗可能会造成晶片损伤,行业公司大多转向研发其他技术,盛美半导体另辟蹊径研发出空间交变相移兆声波清洗(SAPS)和时序能激气泡震荡兆声波清洗(TEBO)两项专利技术,可以实现无伤清洗。公司的清洗设备目前已经进入SK海力士、长江存储和上海华力等先进产线。随着未来国内晶圆厂大规模建成落地,盛美半导体具有业绩爆发的潜力。至纯科技:►公司是专业的洁净高纯工艺系统提供商。至纯科技主要为电子、生物医药等行业的先进制造业企业提供高纯工艺系统的整体解决方案,业务包括高纯工艺系统与高纯工艺设备的设计、加工制造、安装以及配套工程、检测、厂务托管、标定和维护保养等增值服务。公司服务的行业主要包括泛半导体产业(集成电路、MEMS、平板显示、光伏、LED等)、光纤、生物制药、食品饮料行业等工艺复杂精准且需要对生产的工艺流程进行制程微污染控制的先进制造业。►进军半导体湿法清洗设备。公司于2015年开始启动湿法工艺装备研发,2016年成立院士工作站,2017年成立独立的半导体湿法事业部(子公司至微半导体,品牌ULTRON),致力打造高端湿法设备制造开发平台,丰富半导体设备领域的产销体系。公司为半导体领域提供湿式工作平台,主要为单晶圆清洗设备(ULTRONS2XX/S3XX)和槽式清洗设备(ULTRONB2XX/B3XX)。应用于晶体管、连接体、图形化、先进内存和封装等各个领域。公司2017年报透露,公司的槽式清洗设备已经拿到多台订单,单片式清洗也已拿到意向订单。预计在湿法工艺机台领域,公司前景广阔。 封装设备:中低端设备有望突围,服务为胜负手艾克瑞思:►公司在半导体固晶设备领域实现自主化,并被国内三大封测龙头之一的华天科技大批量采购:公司重点开发高速、高精准、更智能的半导体封装设备。其核心优势在于领先的机器视觉和运动控制技术、丰富的半导体封装工艺制程经验以及全面的质量管控系统。►公司重视服务,以客户为中心,以服务驱动产品迭代。公司创始人具备国际大厂工作经验,深知服务对于设备厂商的重要性,以客户反馈为方向“持续性改进”设备精度、速度和功能,得到大客户的认可。检测设备:民企具备发展机会,进口替代的先行者长川科技:►公司是国内为数不多的在集成电路测试设备领域实现自主化的企业。公司成立于2008年,作为国内集成电路测试装备龙头企业,公司在IPO前即获得集成电路产业基金入股扶持。截至目前,国家集成电路产业基金持有公司7.3%股份,为公司第三大股东。公司经过持续的技术创新,掌握了集成电路测试设备的核心技术,目前拥有92项专利权,40项软件著作权,是国内为数不多的可以自主研发和生产集成电路测试设备的企业。目前,公司生产的测试机包括大功率测试机(CTT系列)、模拟/数模混合测试机(CTA系列)等;分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q系列)、平移式分选机(C6、C7R系列)等。►通过高性价比和售后服务优势逐步实现进口替代。公司测试机和分选机在核心性能上已达到国内领先并接近国外先进水平。公司凭借技术优势和高性价比产品,持续拓宽市场,产品获得长电科技、华天科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业使用和认可。公司重视研发投入,公司在数字集成电路测试领域、高速多工位分选机领域将继续缩短和国外巨头的差距,在12英寸探针台方面将持续研发,未来有望实现国内厂商零的突破。时间客户客户类型2017微矽电子封装测试企业2017致新科技封装测试企业2017立锜科技封装测试企业2017日月光封装测试企业2016通富微电封装测试企业2016丽恒光微电子测试代工厂2015华润赛美科微电子测试代工厂2014华天科技封装测试企业2014长电科技封装测试企业2014士兰微封装测试企业2014南通华达微电子封装测试企业2014无锡宏湖微电子封装测试企业图表40:长川科技客户及产品进入时间图表41:长川科技2016年主要客户及销售额占比23%%%5%2016年主要客户及销售额占比4528%35%华天科技长电科技士兰微丽恒光通富微电其他资料来源:公司公告,资料来源:公司公告, 精测电子:►精测电子是面板检测龙头企业,其通过自建团队和对外合作的方式,进军半导体检测设备。公司在前道和后道测试方面均有布局:1)牵手IT&T布局后道测试设备。2018年1月,公司发布公告,拟与IT&T及两位自然人张庆勋和周璇在武汉共同投资设立中外合资公司武汉精鸿,进行半导体测试设备的研发、生产、销售及技术服务。IT&T为韩国企业,成立于2006年,主要业务为半导体自动测试设备(ATE),应用于存储器及SoC领域。依托于IT&T的技术和自主研发,公司有望在后道测试机领域取得突破。2)成立上海半导体子公司进军前道过程控制测试领域。公司在上海成立了子公司,将通过对外寻求合作加上自建团队的方式研发前道过程控制测试设备。考虑到精测本身具备卓越的光机电一体化技术,我们预测未来两年其在半导体检测方面将有所突破。后道设备ASMPacific(ASMPT):►ASMPT成立于1975年,是ASM国际(ASMNA,NR)在亚洲的全资子公司。公司于1989年在港交所上市。ASMPT的初始业务是半导体行业的后段设备供应商,并逐步开始提供LED/CIS行业的设备。此外,它在过去10年通过收购兼并,将自身产品组合扩大到SMT设备和半导体材料业务。►公司的管理层团队具备深厚的科研背景,行政总裁李伟光先生、执行副总裁周全先生等在在半导体行业均有30年以上的工作经验。截止2018年6月30日,股东包括ASMP国际(25%)、澳洲联邦银行(6%)、新加坡政府投资公司(5%)以及公众投资者(64%)。►后段设备板块:市占率25%全球第一,未来CIS、先进封装和LED将继续成为主要成长动能。2Q18后段设备收入28亿港币,同比增长18%。2017年后段设备收入同比增长20%至86亿港币,占总收入的49%。2017年该板块增长主要来自集成电路/分离器件,其次是CIS和LED。我们认为长期来看,CIS、先进封装和LED将继续成为主要的成长动能。但短期来看,由于半导体行业进入下行周期,下游应用增速放缓,2019年包括3Dsensing在安卓阵营的应用、先进封装以及LED表现都相对比较疲弱,收入和净利润有可能会倒退。►SMT板块:市占率22%全球第一,汽车和电子市场将继续推动该板块的增长。2Q18SMT板块收入18亿港币,同比增长26%。2017年SMT板块收入67亿港币,同比增长30.9%,占总收入的38.5%。2017年该板块的增长主要来自苹果堆叠式类载板(substrate-likePCB)订单,其次是汽车以及工业市场。由于ASP上涨,短期内堆叠式类载板在智能手机中需求疲弱,但长期公司认为由于5G的商业化应用需要更多的电池空间,堆叠式类载板在智能手机中的渗透率有望增加。►材料板块:市占率8.7%全球第三,收入稳定增长。2Q18材料板块收入6亿港币,同比增长7%。2017年材料板块收入21亿港币,同比增长14.5%。该板块占总收入的12.2%,预计未来收入继续保持平稳增长。扫描下方二维码。欢迎关注公众号 报告需求,可扫描下方二维码,发送报告类型'