• 15.53 MB
  • 2022-04-29 14:06:28 发布

257页半导体行业深度报告:“芯”时代,“芯”机遇

  • 256页
  • 当前文档由用户上传发布,收益归属用户
  1. 1、本文档共5页,可阅读全部内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 文档侵权举报电话:19940600175。
'内容目录全文摘要.-6-再论硅片剪刀差...................................................................................................-7-再论硅片剪刀差,本轮结构性景气核心驱动,仍在加强!.........................-7-为什么持续强调?最核心材料钳制产能释放...............................................-8-从龙头厂商财报看硅片剪刀差持续性........................................................-11-存储:仍是核心抓手,战略项目重点参照韩国模式..........................................-15-月频数据持续同比稳定增长,存储仍是核心抓手......................................-15-数据为王,三大领域需求推动...................................................................-16-消费级需求:移动端稳定增长,PC端下降趋缓.......................................-20-智能驾驶时代来临,车载存储迎来爆发!.................................................-24-韩国崛起启示录:殖产兴业+产业链一体化+大国市场纵深+自主研发......-29-组建“官产学联盟”推动了韩国半导体的发展...............................................-45-国产存储进展里程碑:中国第二次大投入+独立自主研发+大国市场纵深........-51-合肥长鑫睿力正式投片,国产存储迎来关键节点......................................-51-长江存储进军3DNAND,首批32层3DNAND年内量产.......................-52-福建晋华专注DRAM,预计将于今年9月投产.........................................-53-先进工艺+特色工艺代工双杰:中芯国际、华虹半导体....................................-54-半导体行业持续演进,垂直分工成趋势.....................................................-54-中芯国际:大陆先进工艺代工龙头,研发进展突破超预期.......................-58-华虹半导体:最直接受益8寸高景气,运营超预期..................................-61-从台积电发展看代工业的机遇与风险........................................................-65-8寸产能景气大周期,通用型产品是直接驱动..................................................-70-硅片短缺+设备停产双重因素驱动,8寸代工持续满载.............................-71-功率半导体:新兴应用驱动需求大增,国产化替代可期...........................-72-MOSFET:结构性缺货严重,引领特色工艺景气......................................-73-IGBT:新能源核芯所在.............................................................................-74-SiC:新型化合物半导体引领成长新一极...................................................-76-海外功率龙头标的梳理..............................................................................-79-士兰微:A股IDM龙头,重点关注8寸爬坡...........................................-94-扬杰科技:功率半导体十年一剑,内生外延双驱动..................................-98-设计:核心芯片受制于人局面不改,关注CPU/GPU/模拟自主突破!..........-101-中兴事件再敲警钟,凸显自主可控重要性...............................................-101-全球CPU市场仍呈高度垄断...................................................................-102-面对国外专利壁垒,国产CPU任重而道远。.........................................-104-GPU应用广泛需求爆发,景嘉微国产图显稀缺标的...............................-110-模拟芯片产业重要一环,替代空间同样巨大...........................................-114-下游需求持续拉动稳定增长,仍集中在欧美厂商....................................-117-圣邦股份:国内模拟龙头,期待持续稳定成长........................................-120-设备:国产化迫在眉睫,首先建立基本供应体系............................................-123-两大矛盾使建立国产设备供应体系迫在眉睫...........................................-123-现状:全球半导体设备市场高度垄断,突围道阻且长.............................-124-若出现排版错位,可加微信535600147,获取PDF版本 机遇:大陆迎来建厂热潮,国产设备布局完整有望收益.-126-从工艺流程看设备机遇............................................................................-130-光刻机:ASML一家独大,皇冠明珠难摘...............................................-131-刻蚀设备:制程发展下刻蚀需求持续提升,重点关注中微半导体..........-134-沉积设备:AMAT占主导地位.................................................................-139-清洗与检测设备:贯穿芯片制造,不可忽视的重要环节.........................-141-北方华创:国产设备平台型企业,产业化持续推进!.............................-145-精测电子:业绩持续高增速,从面板到半导体步步为营.........................-147-至纯科技:国内高纯工艺系统设备龙头...................................................-149-长川科技:国内集成电路测试设备领先者...............................................-151-晶盛机电:国内晶体硅生长设备龙头......................................................-152-材料:关注核心材料国产突破,替代空间巨大...............................................-155-下游需求持续增长,产业东迁促进国产替代...........................................-155-硅片:半导体制造最核心材料.................................................................-157-光刻胶:国产突破i线光刻胶,248nm突破可期....................................-163-靶材:镀膜工艺核心材料........................................................................-169-湿电子化学品:国产G5级双氧水打破国际垄断.....................................-176-江丰电子:国产高纯靶材龙头,高端产品有望突破................................-182-晶瑞股份:深耕微电子化学品多年,打造电子制造上游龙头..................-184-中环股份:单晶材料龙头,收购国电光伏再度起航................................-188-封测:短期压力不改长期成长趋势..................................................................-190-封测行业:部分承压消费电子疲软叠加成本传导,整体仍呈稳定增长...-190-全球封测产业格局清晰,大陆厂商加速赶超...........................................-191-技术不断演进是推动封测行业发展的主线逻辑........................................-193-聚焦先进封装技术——FOWLP、SIP、3DTSV......................................-195-超越“摩尔定律”,SiP封装气势如虹........................................................-198-先进封装继续延伸,3DTSV方兴未艾.....................................................-199-先进封装引领潮流,国内市场有望受益...................................................-202-大陆封测企业逐步向高端迈进.................................................................-202-长电科技:国产封测龙头,期待反转到来...............................................-204-通富微电:前瞻布局产业重镇,各大厂区逐步释放................................-206-晶方科技:传感封装细分龙头,关注光学拓展........................................-208-国内上市标的梳理...........................................................................................-210-(一)兆易创新:17竞争力上新台阶,迎接18全面开花!..................-210-(二)三安光电:LED强者恒强,化合物半导体再展宏图!.................-213-(三)景嘉微:国产图形显控龙头,新品放量在即................................-215-(四)圣邦股份:国内模拟龙头,期待持续稳定成长.............................-218-(五)中芯国际:大陆先进工艺代工龙头,研发进展突破超预期..........-221-(六)华虹半导体:最直接受益8寸高景气,运营超预期.....................-223-(七)扬杰科技:功率半导体十年一剑,内生外延双驱动.....................-226-(八)士兰微:A股IDM龙头,重点关注8寸爬坡..............................-228-(九)富满电子:深耕模电芯片近20年,半年报高增长.......................-231-(十)北方华创:国产设备平台型企业,产业化持续推进!..................-234-(十一)至纯科技:国内高纯工艺系统设备龙头....................................-236-若出现排版错位,可加微信535600147,获取PDF版本 (十二)晶盛机电:国内晶体硅生长设备龙头.-240-(十三)长川科技:国内集成电路测试设备领先者................................-242-(十四)晶瑞股份:深耕微电子化学品多年,打造电子制造上游龙头...-244-(十五)江丰电子:国产高纯靶材龙头,高端产品有望突破..................-246-(十六)中环股份:单晶材料龙头,收购国电光伏再度起航..................-248-(十七)长电科技:国产封测龙头,期待反转到来................................-250-(十八)通富微电:前瞻布局产业重镇,各大厂区逐步释放..................-252-(十九)晶方科技:传感封装细分龙头,关注光学拓展.........................-254-风险提示..........................................................................................................-256-若出现排版错位,可加微信535600147,获取PDF版本 全文摘要n年初我们最底部140页深度报告《国之重器、拥抱芯片科技红利!》详细阐述半导体板块投资逻辑,强调“全球景气周期+国家立体式支持+产业成长拐点”三大因素叠加之下,半导体板块是今年成长性及投资最明确行业。本篇深度报告在年初报告基础上,对我们核心逻辑“硅片剪刀差”、“第四次硅含量提升”等进行细化分析及更新,并从存储、代工(包括先进制程及特色工艺)、设计、设备、材料及封测领域进一步深度阐述产业最新趋势及投资机会!n第一章:再论硅片剪刀差。从本轮半导体结构性景气最本质驱动因素出发,龙头厂商最新财报、交流反馈来看仍在持续加强。强调硅片剪刀差,关注价格逐季调涨只是一方面,更为重要的是从量上对半导体芯片产出的限制!随着先进制程升级驱缓、下游需求不断提升,12寸及8寸硅片的短缺势必通过“量”上的供需偏紧向下传导。n第二章:存储仍是核心抓手、战略项目重点参考韩国模式。全球半导体第四次硅含量提升,全球半导体产业结构性景气度呈现持续向好,从增速贡献来看,存储是核心抓手。我们持续强调【数据】对计算性能和存储容量的需求拉动,消费终端升级(高像素、4K)+汽车存储(辅助驾驶、智能化升级)+云计算中心将成为存储需求的三大驱动!重点战略项目着重参考韩国产业发展模式,韩国存储发展实行举国体制,在三星、海力士(现代、LG、SK)等财阀经济模式下,组建“官产学研”联盟,以“拿来主义”实现从无到有的转变,以自主研发推动核心竞争力发展,以逆周期投资确立霸主地位,以中国市场构筑战略纵深。n第三章:国产三大存储项目顺利推进。合肥长鑫睿力DRAM项目整体推进顺利有序,年底前有望实现从0到1的研发突破。7月16日合肥长鑫召开首次投片大会在合肥召开,结构片顺利完成、电性片正式开始投片,是中国国产存储发展的一个重要里程碑!长江存储进军3DNAND,国家存储器基地已从厂房建设阶段进入量产准备阶段,中国首批拥有完全自主知识产权的32层3DNAND闪存芯片将于年内量产。福建晋华专注DRAM,将于今年9月投产,一期月产能6万片,预计年销售额12亿美元。n第四章:重点关注先进工艺及特色工艺发展,国产双杰中芯国际、华虹半导体。半导体行业持续演进,垂直分工成趋势,芯片代工市场增速快于半导体,随着半导体制造规模效应的凸显,以及技术和资金壁垒的提升,IDM模式下的厂商扩张难度加大,沉没成本提高。重点关注国产双杰中芯国际、华虹半导体在两条赛道上的研发突破、产能扩张。n第五章:8寸产能景气大周期、通用型产品是直接驱动。我们近期持续重点强调8寸代工投资机会,我们认为在硅片短缺叠加设备停产双重因素驱动下,8寸代工产能未来数年有望持续满载,代工厂议价能力提升。功率半导体新兴应用驱动需求大增,MOSFET、二极管结构性缺货严重;IGBT是新能源汽车核心所在;碳化硅市场为新成长极。Infineon、Cree、Rohm三足鼎立,国内企业加速研发,力争弯道超车。n第六章:设计核心芯片受制于人局面不改,关注CPU/GPU/模拟自主突破!中兴通讯受制裁再次敲响警钟,科技之战凸显自主可控核心重要性。从目前产业发展情况来看,我国所需核心芯片主要依赖进口的局面并没若出现排版错位,可加微信535600147,获取PDF版本 有改变。在高性能运算芯片CPU/GPU/FPGA以及高性能模拟芯片领域目前的国产芯片占有率仍几乎为0。目前景嘉微、飞腾、兆芯等在部分CPUGPU领域,矽力杰、圣邦股份在模拟芯片领域实现了部分国产替代,主要集中在消费级及通信领域,汽车电子、工控、军工领域依赖性仍较严重,关注后续自主突破!n第七章:设备国产化迫在眉睫,首先建立基本供应体系。我们认为当前中国半导体设备存在两大主要矛盾,即国内设备自给不足和半导体设备需求旺盛之间的矛盾和国内半导体设备极度依赖进口和以美国为代表有可能限制中国进口之间的矛盾。在这两大矛盾的共同推动下,发展国产半导体设备、建立起基本的国产设备供应体系迫在眉睫。n第八章:关注核心材料国产突破,替代空间巨大。全球半导体材料市场回暖,与往常不同的是,此次晶圆前端制造材料率先增长,与我们传导图预测一致。整体来看,半导体材料产业持续东移,中国大陆增速第一,国产替代稳步推进:硅片向大尺寸演进是大势所趋,大尺寸硅片被国际垄断,国产项目陆续推进,上海新阳12寸正片已实现出货;国产光刻胶已突破i线,248nmKrF光刻胶突破可期;靶材区域聚集特征显著,国内下游半导体产业高速发展,有望带动上游国产溅射靶材加速导入;高端超净高纯试剂自给率有待提升,国产双氧水达到G5级,成功突破国外垄断,整体湿电子化学品市场持续高速增长。n第九章:封测短期压力不改长期成长趋势。全球封测产业格局清晰,台湾省、美国、大陆三足鼎立的局势已然形成。封装产业属于规模经济产业,具有明显的规模效应,有大者恒大的趋势,全球前三企业市占率46.1%,国内三巨头长电科技、华天科技、通富微电通过产业布局、扩产兼并、先进技术积累等一系列动作慢慢壮大,在全球行业中分别排名第3,第6,第7,先进封装技术水平和海外基本同步,BGA、WLP、SiP等先进封装均已实现量产,成为国内半导体产业链中成熟度最高,破局势能最强劲的领域。随着未来三年大陆FAB建厂潮来临、投产,国产封测有望持续迎来成长空间!再论硅片剪刀差再论硅片剪刀差,本轮结构性景气核心驱动,仍在加强!n我们17年3月推出独家核心逻辑“硅片剪刀差”,领先产业判断2016-2017年硅片供需剪刀差带来半导体行业8年一遇景气行情。如今14个月过去,半导体产业趋势沿我们预测路径不断加强演进,本篇报告我们从再论硅片剪刀差开始,详解本轮半导体产业结构性景气核心逻辑及受益传导路径。图表1:一图看懂硅片产业链若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本来源:中泰证券研究所整理图表2:中泰电子17年3月对硅片价格趋势判断-“硅片剪刀差”来源:中泰证券研究所根据产业调研处理预测为什么持续强调?最核心材料钳制产能释放n硅片/硅晶圆是制造芯片的核心基础材料,高纯度要求下工序流程复杂、设备参数要求高。半导体级硅片较光伏级硅片通常高出4-6个数量级,核心工序流程包括高纯硅制备、直拉、滚磨、切割和多次抛光、清洗等。高纯度、平整度特性相应提升了设备的参数要求,因此购置设备的大量资本开支(以及渠道)、产线参数调试成为硅片扩产的关键。n强调硅片剪刀差,关注价格逐季调涨只是一方面,更为重要的是从量上对半导体芯片产出的限制!我们过去一年多来强调硅片价格的上涨只是关注指标的一方面,因为半导体芯片制造的复杂性,rawwafer在整体成本中的占比并不高(不到10%,芯片制程越先进占比越小)。但是作若出现排版错位,可加微信535600147,获取PDF版本 为芯片制造的基础核心材料,硅晶圆能够从量上直接限制芯片的产出,随着先进制程升级驱缓、下游需求不断提升,12寸及8寸硅片的短缺势必通过“量”上的供需偏紧向下传导,这也是我们始终强调通过硅片剪刀差看半导体产业景气度持续的核心原因。n我们再对12寸硅片的供需情况进行分析,受益存储芯片、高性能运算逻辑芯片、基带芯片需求持续提升,12寸硅片需求自2001年来持续提升,目前月需求量预计突破550万片。图表3:硅片需求量持续提升(百万片/月)来源:SUMCO,中泰证券研究所n存储芯片对于12寸硅片需求尤其大,DRAM+NAND需求占比接近一半。根据DRAMEXCHANGE的预测,17Q4DRAM硅片月度需求约为110万片/月、NAND对应约为150万片/月,因此我们判断存储芯片是受硅片供需影响最大的芯片品类。需要强调的是,从目前SUMCO、信越、GWC等厂商年报、法说会披露情况来看,硅片厂一定会优先满足T1客户TSMC、三星、海力士、美光等客户的硅片需求,但是目前多为“预付款+配给制度”,这仍然会在一定程度上限制龙头FAB厂的产能扩充。图表4:DRAM对12寸硅片需求(千片/季)图表5:NAND对12寸硅片需求(千片/季)来源:DRAMExchange,中泰证券研究所来源:DRAMExchange,中泰证券研究所n供给方面,12寸硅片供给我们此前已经分析过多次,目前接近92%-93%若出现排版错位,可加微信535600147,获取PDF版本 的产能集中在信越、SUMCO、GWC、Siltronic及LGSiltron五家手中,以SUMCO为代表的龙头厂商在2007年、2008年金融危机前夕连续进行两次扩产,幅度接近230万片/月,也为日后连续8年景气下行周期埋下伏笔。图表6:全球12寸硅片历史扩产情况(千片/月)来源:SUMCO,中泰证券研究所n此后短暂经历了09-11年智能手机渗透期拉动硅片供需景气回升后,月度供给水平经过一段波动后从16Q2起持续提升、反应厂商产能利用率提升,直到17H2起基本接近满载,月度供给维持在稳定水平。图表7:12寸硅片供给情况(万片/月)来源:SUMCO统计口径,中泰证券研究所n从日本产业经济省五年来月度高频统计数据我们亦可以发现,自16Q4起12寸硅片月度库存水平持续下行至历史地位,月度销量均高于产量,且当前基本维持在3.7-3.8亿平方英寸月度出货量。若出现排版错位,可加微信535600147,获取PDF版本 图表8:日本12寸硅片月度产销(千平方英尺)图表9:日本12寸硅片月度库存(千平方英尺)来源:日本产业经济省,中泰证券研究所来源:日本产业经济省,中泰证券研究所n而在经历07-08年大幅扩产、受损8年后,我们可以看到目前各家厂商对于扩产均持谨慎态度,从目前已经公告来看,12寸硅片仅有SUMCO(11万片)+Siltronic(7万片)+GWC(2万片)合计20万片/月的扩产计划,扩产幅度仅占目前全球总产能的3.6%左右。n从产业经验来看,硅片厂进行新的扩建(Brownfield)与新建(Greenfield)项目所需周期分别为18个月及24个月,因此我们判断即使有新产能开出也至少在2020年,硅片供需景气度再看3年。从龙头厂商财报看硅片剪刀差持续性nSUMCO18Q1财报继续靓丽,指引表述值得重点关注!受益于硅片逐季调涨,公司财报主要指标均大幅增长。公司18Q1单季度营业收入772.89亿日元,同比增长28.40%,环比增长10.06%;营业利润195.90亿日元,同比增长142.84%,环比增长47.45%;营业利润率为25.35%,同比增长11.94pct,环比增长6.43pct。n尽管智能机需求不振,但300mm硅片仍然供不应求,18Q1法说会上,公司称紧缺行情已传导至200mm甚至更小尺寸,持续验证我们传导图中的“降阶抢夺产能”逻辑。公司预计所有尺寸硅片需求都将持续强劲,所有尺寸硅片价格都将有所增长,300mm硅片预计年内还将涨价20%,今年年底价格应该比16年年底高出40%左右,并且19年也将持续涨价。同时公司称已与客户开始谈判2021年及之后的合约——这一信息已经超出我们此前的预期,“预付款+配给制度”继续盛行。图表10:重点关注龙头硅片厂商展望表述变化,越发积极若出现排版错位,可加微信535600147,获取PDF版本 来源:SUMCO历史财报、投资者公开会议,中泰证券研究所n18Q1法说会上公司称,200mm硅片产能增长有限,可以预期,中长期内,200mm硅片供给紧张的状况仍将持续。同时,已看到150mm硅片短缺的信号,预计价格将有所增长。同时SUMCO判断强劲需求来自于汽车、工业、IoT等领域,这也与我们多次强调的物联网、工业与汽车是第四次硅含量提升核心应用相互验证。图表11:SUMCO季度营收(百万日元)图表12:SUMCO季度净利润(百万日元)若出现排版错位,可加微信535600147,获取PDF版本 来源:SUMCO财报,中泰证券研究所来源:SUMCO财报,中泰证券研究所图表13:SUMCO营业利润率及归母净利润率来源:SUMCO财报,中泰证券研究所n300m紧缺主要由各类数据相关应用驱动,其中存储器位元需求增长速度已超越制程及工艺进步速度,是硅片紧张的最大驱动因素!也是硅片剪刀差传导下来最为受益的通用型品种!n其中DRAM的制程工艺在进入20nm以下后速度明显放缓、我们判断未来几年对硅片的需求量持续偏紧。而NAND由于处于2D向3D迁移过程中、预计3D良率爬坡后会有一段时期对于硅片需求下降/波动,但从长期来看增速仍将由全面替代HDD、云计算、消费电子容量升级等因素所驱动。图表14:存储、逻辑等对12寸硅片的需求(千片/月)来源:SUMCO财报,中泰证券研究所n下游客户库存水平指数持续向下反应紧缺程度提升!16Q4起客户库存水平持续下行,17年底至18Q1持续处于低水平,与日本经济产业省发布月度数据相验证——硅片厂商与FAB厂的硅片库存均处于下行区间、若出现排版错位,可加微信535600147,获取PDF版本 历史低水位,反应行业需求景气、硅片供不应求。图表15:SUMCO估算300mm库存水平(换算成指数)来源:SUMCO财报,中泰证券研究所n硅片龙头信越化学:受益逐季涨价,维持稳健增长。信越FY18Q4财报显示其硅片业务营收828亿日元,同比增长24.7%,环比增长5.34%;营业利润267亿日元,同比增长62.8%,环比增长8.98%;营业利润率达32.25%,同比增长7.55个百分点,环比增长1.08个百分点。增长主要来自于硅片涨价,以及下游所有应用产生的强劲需求,从12寸开始的大量需求,逐渐蔓延至所有尺寸。图表16:信越化学硅片业务营收情况(亿日元)图表17:信越化学硅片业务营业利润(亿日元)若出现排版错位,可加微信535600147,获取PDF版本300250200150100500硅片营业利润(亿日元)营业利润率FY13Q1FY13Q4FY14Q3FY15Q2FY16Q1FY16Q4FY17Q3FY18Q235.00%30.00%25.00%20.00%15.00%10.00%5.00%0.00%若出现排版错位,可加微信535600147,获取PDF版本来源:信越化学财报,中泰证券研究所来源:信越化学财报,中泰证券研究所n信越18Q4财报显示12寸硅片需求主要来自于NAND与逻辑电路,2013年需求开始扩张,线性增长至今共增长40%;8寸硅片2016年前都处于平缓增长,之后受工业设备及汽车电子驱动,开始迅速增长;6寸及以下尺寸硅片在2015-2016年需求减少,直至2017,由于8寸硅片供给紧张,引发降阶抢夺产能,需求迅速提升。若出现排版错位,可加微信535600147,获取PDF版本 图表18:信越估算各尺寸硅片需求来源:信越官网,中泰证券研究所存储:仍是核心抓手,战略项目重点参照韩国模式月频数据持续同比稳定增长,存储仍是核心抓手nSIA全球半导体4月销售数据、台湾半导体公司5月营收陆续出炉,我们进行统计分析,继续强调在人工智能、数据中心建设、汽车电子、物联网、工业控制等应用需求驱动下,全球半导体产业结构性景气度仍呈现持续向好。根据全球半导体行业协会(WSTS)发布数据,18年4月全球实现半导体销售额376亿美元,同比增长20.2%/环比增长1.4%,连续13个月同比增速超过20%!同时WSTS给出最新指引,预计2018年/2019年全球半导体实现营收4630/4840亿美元,同比增长12.4%/4.4%。图表19:全球半导体销售额连续13个月同比增速超20%来源:WSTS,中泰证券研究所n从增速贡献来看,存储仍然是核心抓手。我们进一步对月度营收数据结构进行分析,5月存储芯片仍以32%的占比位居第一,而从3月平均营若出现排版错位,可加微信535600147,获取PDF版本 收增速来看,DRAM遥居第一、显然是半导体整体增速的核心抓手,Flash(包括NAND和NOR)的同比增速亦超过30%。我们过去持续强调【数据】对计算性能和存储容量的需求拉动,根据近期美光18Q1财报预计消费终端升级(高像素、4K)+汽车存储(辅助驾驶、智能化升级)+云计算中心(未来3年DRAM6倍、SSD2倍)将成为存储需求的三大驱动!图表20:2018年5月全球半导体营收结构及三月平均增速来源:SIA,中泰证券研究所数据为王,三大领域需求推动n云计算、IDC与边缘计算将成serverDRAM最大驱动力!n第四波硅含量提升周期的四大核心创新驱动是AI、物联网、5G与智能驾驶,从人产生数据到接入设备自动产生数据,数据呈指数级别增长!智能驾驶智能安防对数据样本进行训练推断、物联网对感应数据进行处理等大幅催生内存性能与存储需求,数据为王!n所有数据都需要采集、存储、计算、传输,存储器比重有望持续提升!同时传感器、微处理器(MCU/AP)、通信(RF、光通讯)环节也将直接受益!我们强调,第四次波硅含量提升周期,存储器芯片是推动半导体集成电路芯片行业上行的主要抓手,密切关注大陆由特殊、利基型存储器向先进存储有效积累、快速发展进程!n具体对本轮服务器内存景气周期进行分析,Intel服务器平台转换和七大互联网龙头数据中心建设是16-17年的需求动能。而随着IoT、AI(尤其智能安防)和智能驾驶时代到来,边缘计算的爆发带来的内存性能需求将成为中长期serverDRAM的成长驱动!n数据中心对服务器的需求成为整体服务器市场出货成长的关键。根据DRAMeXchange对Google、Amazon、微软、Facebook与中国BAT七家巨头IDC服务器装机量统计,近年来增速维持在23%-35%之间,其中2017年仅这七家服务器装载量有望超过840万台。且2020年前,七大巨头仍有超过十座数据中心正在进行规划新建。近两年来数据中心的服务器需求预计在2020年前将继续维持每年二至三成的年增率。若出现排版错位,可加微信535600147,获取PDF版本 图表21:IDC服务器装机量增长趋势(千台)图表22:七大公司数据中心统计来源:DRAMeXchange,中泰证券研究所来源:集邦咨询,中泰证券研究所n服务器搭载的容量提升驱动内存使用量。根据DRAMeXchange统计,平均一座数据中心可容纳约8000至15000个服务器机架,而一个机架可搭载4台以上不同尺寸的服务器,据估算将会消耗约10MnGB至20MnGB的服务器用内存。n根据集邦咨询等机构统计,目前服务器&工作站出货量保持稳定增长,2017年有望达到1690万。Intel新平台升级与虚拟化驱动服务器搭载内存容量快速提升,2016-2017间提升60GB至190GB!图表23:服务器&工作站出货量(百万)图表24:服务器平均搭载内存容量(GB)服务器搭载内存容量(GB)2502001501005004Q151Q162Q163Q164Q161Q172Q173Q17来源:集邦咨询,中泰证券研究所来源:DRAMeXchange,中泰证券研究所n目前服务器以双路服务器为主,虚拟化计算环境催生大量内存需求,四路及以上高端方案也开始快速增长。目前中低端双路服务器内存方案为16GBx8,高端服务器由32GBx12向32GBx24甚至64GBx32演进!今年十月AmazonAWS更是推出目前最大的EC2,包括4TB的DDR4内存、IntelXeionE78880v3处理器,主要面向运行内存中数据库(例如SAPHANA)、大数据处理引擎(例如ApacheSpark或Presto)、高性能计算(HPC)应用程序以及高性能数据库图表25:虚拟化计算催生大量服务器内存需求图表26:中端两路服务器和高端四路服务器配置若出现排版错位,可加微信535600147,获取PDF版本 中端服务器(双路)数量高端服务器(四路)数量处理器E5-2650V4(12核)2E54669V4(22核)4内存16GBDDR4-2400864GBDDR4-240032存储120GBBootSSD+480GBSSD28TBSASSSD1套来源:谷歌,中泰证券研究所来源:serversupply.com,中泰证券研究所n大数据分析与云端运算需求持续增加的情况下,高效能运算需求激增,服务器仰赖内建存储器来提供更有效率的运算工作已成为趋势。再加上未来人工智能运算必将更依靠服务器内建存储器,来达到提升运算效能的情况下,先进存储器的发展一直是存储器公司重要的工作项目之一。例如,美光就在近期正式推出当前市场上容量最高、速度最快的服务器等级专用存储器模组,每个存储器模组的容量高达128GBDDR4-4266LRDIMM,采用8GBDRAM颗粒,以TSV矽穿孔制程进行4Hi堆叠,并由20纳米制程所制造。图表27:内存带宽越大,达到某一算力所需运算强度越低来源:知网,中泰证券研究所n泛物联网时代来临,边缘计算爆发在即,带来的内存性能需求将成为中长期DRAM的成长驱动!Ø什么是边缘计算:美国CMU将边缘计算定义为“一种新的计算方式,这种模式将计算与存储资源部署在更贴近移动设备或传感器的网络边缘”,其核心在于“贴近”终端,因此在实时、快速响应是边缘计算产生的核心痛点所在!带宽、延迟与抖动等不稳定因素都更易于控制和改进。Ø借用一个形象比喻,边缘计算类似于人类的神经末梢,对于简单的若出现排版错位,可加微信535600147,获取PDF版本 信息可以直接处理;对于复杂的信息则传输给云端(即大脑)。Ø边缘计算可能的形式或者说载体:从当前来看,我们对边缘计算的载体进行大胆预测——微基站、智能安防摄像头、车载电脑、路由器和微型数据中心最有可能成为边缘计算可能的载体。图表28:边缘计算与云计算比较图表29:典型的边缘计算方案——Cloudlets来源:知网,中泰证券研究所来源:cloudlets,中泰证券研究所n万物互联时代,思科预计2020年联网终端和设备数量将呈指数级增长,爆炸数据量将给传统云计算带来极大带宽与时延、可靠性、数据安全压力,边缘计算应运而生!我们认为与云计算相比,边缘计算具备三点核心优势:Ø1、实时性;上文已经阐述,复杂网络环境存在链接和路由不稳定问题,造成的高延迟、强抖动、传输慢会严重影响云端响应能力,贴近端侧的边缘计算具备更强的实时响应能力;Ø2、隐私保护;近期360摄像头等事件引起较大反响,我们认为未来摄像头等传感器采集的环境数据在上传云端面临隐私保护问题,在边缘设备进行处理在隐私保护上具有必要性;Ø3、极大缓解网络带宽与数据中心压力;思科报告指出,物联网时代产生的数据中只有10%是关键数据,其余90%是临时数据无需长期存储。边缘计算的引入能够处理大量临时及冗余数据,缓解网络带宽与数据中心压力。n对于有实时数据处理要求的场景,边缘侧存储与处理将越来越重要!譬如智能驾驶,在监测到障碍物时,如果无法及时进行智能化决策,控制方向避开障碍物,而是先传入云端再下发指令到车载终端的话,因信号传输等原因稍有延迟就会导致事故的发生。又如智能安防摄像头,美国部署了3000余万个摄像头,每周生成超过40亿小时的海量视频数据。这些数据上传至云端数据中心进行处理,不仅需要传输成本,更需要存储成本。而这些数据信息如果能在网络边缘侧就被存储与处理,那将大大减少成本并提高设备处理效率。n物联网大时代来临,“边缘感知,多层认知”,海康威视推出基于云边融合的以视频为核心的智能物联网架构!边缘节点与边缘域带来大量内存&存储需求!若出现排版错位,可加微信535600147,获取PDF版本 ØAI技术的成熟助推边缘智能更精准更高效。视频图像等非结构化数据只有就近在物或数据源侧进行结构化数据处理,才能有效降低网络带宽压力和后端计算、存储压力,提升整体分析效率,满足业务实时响应等要求。随着AI芯片及嵌入式感知系统的成熟,智能前端设备可实现更为丰富的视觉感知功能,并可将识别、分类的结果进行实时应用,而这一过程需要DRAM的带宽与容量进行支持;Ø集中上云无法满足复杂业务的分级多层应用。面向复杂业务应用环境,靠全部数据集中上云或全部感知、认知集中在云端处理的方式难以满足分级、多层应用所需要的灵活扩展、按需部署、敏捷响应等需求。通过云边结合的架构能够既能满足智能分布式计算,又能相对集中处理海量异构数据!图表30:海康威视AICloud架构来源:海康威视,中泰证券研究所消费级需求:移动端稳定增长,PC端下降趋缓n应用、游戏、AI上的创新对智能终端的性能需求不断提高是行动式内存稳定增长的最大驱动。从最近几年龙头手机厂商机型来看,存储器在智能手机尤其是安卓机型BOM中占比持续提升(iPhoneX这种重大创新机型需特殊考虑)。以三星S系列为例,S7标配为4G+32G,S8配置为4/6GB+64/128GB,存储器BOM占比由11.5%提升至13.6%。图表31:存储器在三星S系列BOM占比提升若出现排版错位,可加微信535600147,获取PDF版本 来源:techinsights,中泰证券研究所nDRAM与NAND扩容在以“OV华米”为代表的国产安卓高端机型上更为迅速,从今年三款机型来看,华为Mate10的存储器BOM占比达到了19.1%,远高于iPhone8与三星S8。而对近年来国产机型做完整统计可以看到,四家国产龙头的主流机型均由2015年的“2/3GB+16/32GB”配置提升至2017年的“4/6GB+64/128GB”,两年间内存和闪存容量几乎都提升了一倍之多。我们认为系统、应用游戏、分辨率的提升是驱动存储提升的主要驱动因素。图表32:今年三款主流机型BOM情况来源:techinsights,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 图表33:华为、vivo近年来手机配置情况图表34:OPPO、小米、苹果近年机型配置情况来源:thomsonone,中泰证券研究所来源:thomsonone,中泰证券研究所n以安卓系统为例,自推出以来系统、APP、游戏占用内存(注:不是占用存储空间)持续提升,均有数倍增长。我们对微信等常见APP占用内存进行统计,从2.3版本到6.5版本内存占用由30MB提升至227MB,提升了六倍以上!而目前以微信、微博、淘宝等为代表的基础性APP占用内存也普遍来到300-400MB。因此以日常后台运行4-5个最基础APP来看,占用内存就能够达到1.2-1.5GB。n同时系统本身也是内存一大占用项!随着系统功能的不断完善,当初Android1.0原生系统仅占用100MB内存,到目前Android7.0、8.0版本,开机之后系统就已经占用1.5-2GB内存,提升了15-20倍!如果仍维持3GB的低配内存,显然已经不能满足系统的需求。图表35:不同版本微信占用内存(MB)图表36:常见APP占用内存(MB)来源:安卓应用市场,中泰证券研究所来源:系统实测,中泰证券研究所n大型MOBA与FPS类端游向手游移植,内存提升成为刚需。今年现象级手游“王者荣耀”与几款吃鸡类游戏极其火爆,而我们剖析其背后本质原因,随着生活节奏加快和手机处理器跨越式升级,以LOL、绝地求生为代表的经典客户端游戏向手游移植将成为不可阻挡的大趋势!内存若出现排版错位,可加微信535600147,获取PDF版本 提升将成为年轻人选购手机刚需!回想十年前智能手机渗透期,那时最为火热的手游是“水果忍者”、“愤怒的小鸟”等休闲游戏,占用手机内存到如今画面精细的大型手游提升数十倍。我们通过实际测算,发现当前“王者荣耀”与吃鸡类火爆手游占用内存在600-800MB之间,预计随着画质、开发引擎的提升,单一游戏占用内存很快将超过1GB,仅系统+游戏运行就将占据3GB的内存!图表37:网易、小米吃鸡类手游推出伊始就迅速霸榜来源:APP排行统计,中泰证券研究所n分辨率与屏幕尺寸提升、摄像头升级也加大对内存需求!不同于PC有独立显存,手机SoC芯片中CPU和GPU使用的内存是在同一个物理内存颗粒上,仅仅在逻辑上给GPU划分独立内存空间。因此手机分辨率越高,图像数据越大,运算量的提升也就越大,因此对内存的需求也就越大。以2K分辨率与1080p为例进行对比,2K的分辨率2560×1440相比1080P1920×1080多了77%的像素数量,运算量的提升也差不多为这个比例。手机屏幕的分辨率逐渐升高意味着内存的占用空间同步提升。n根据集邦咨询和IDC对智能手机内存的统计,近年来智能手机平均搭载内存持续提升。其中集邦认为2018年平均内存将保持稳定增长16%至3.3GB,而IDC统计口径下17Q3智能手机平均内存就已经达到3.6GB,其中以4GB占比最高。图表38:智能手机平均内存(GB,集邦咨询统计)图表39:IDC对智能手机内存统计(GB)若出现排版错位,可加微信535600147,获取PDF版本 来源:集邦咨询,中泰证券研究所来源:IDC,中泰证券研究所n近期我们对手机产业链研究发现,明年存储器(包括DRAM与NAND)采购将继续大幅提升!除了内存涨价因素外,更主要的是手机继续扩容!高中低端有望全面提升,其中最低端智能机配置有望从2GB+16GB提升至3GB+32GB,智能手机平均内存有望接近4GB。智能驾驶时代来临,车载存储迎来爆发!n18年1月国家发改委发布《智能汽车创新发展战略》,智能汽车战略方向加速渗透,存储先行!从技术层面看,汽车始终是新技术应用的重要载体,随着信息通信、互联网、大数据、云计算、人工智能等新技术在汽车领域广泛应用,汽车正由人工操控的机械产品加速向智能化系统控制的智能产品转变,存储作为基础芯片有望先行受益!n2017年车载存储领衔,车用IC出现史上最高增速!根据ICInsights17年中报告,2017年汽车半导体有望增长22.4%至280亿美元,其中车载存储增速居于首位,有望同比增长51.1%至25.78亿美元。n智能驾驶时代,“车载电脑”、“车载服务器”大势所趋!建立“感应-融合-决策-执行”大闭环!我们在前面几节也已经提到,譬如智能驾驶,在监测到障碍物时,如果无法及时进行智能化决策,控制方向避开障碍物,而是先传入云端再下发指令到车载终端的话,因信号传输等原因稍有延迟就会导致事故的发生。因此需要本地具备高性能运算能力的辅助驾驶/自动驾驶控制系统来对传感器接收数据进行融合、处理,“车载电脑”、“车载服务器”将是大势所趋,形成“感应-融合-决策-执行”大闭环!图表40:2014-2017车用IC市场情况(十亿美元)图表41:车用半导体分类增长情况(百万美元)来源:ICinsights,中泰证券研究所来源:ICinsights,中泰证券研究所n车载存储需求的增长,开始引起越来越多存储器厂商的重视。2017年6月CypressSPI型NORFlash正式打入博世(Bosch)在先进驾驶辅助系统(ADAS)供应链。存储器大厂美光则除了供应汽车ADAS、仪表盘、信息娱乐系统需要的DDR3/4和LPDDR3/4外,还增加了eMMC5.0量产,提供8GB~128GB容量以应对大容量存储的需求,以及高端需求的SSD。n车载信息娱乐系统(IVI)存储需求不断提高。信息娱乐系统面板尺寸越来若出现排版错位,可加微信535600147,获取PDF版本 越大、分辨率越来越高,承载的信息也更加复杂和丰富,对存储空间和内存性能提出更高要求。存储器产品一般是在消费电子应用成熟之后才向汽车领域推广。近年来汽车内存更新换代频率显著提高。普通汽车上使用的DDR2内存从消费电子到汽车系统的推广经历了5年时间,而LPDDR4内存在2015年刚在手机上使用,2016年已开始进行汽车产品验证,2017年已经开始进入市场!n汽车电子各模块均需要存储器进行代码和数据存储。从ADAS系统,汽车仪表(instrumentcluster),车载信息娱乐系统(infotainment),动力传动控制到通信系统都离不开存储器的支持!从存储方案来看:Ø动力传统/制动/车身电子控制单元:最常见方案为嵌入式SRAM加上串行Flash等非易失性存储器。这些系统的计算需求一般由SRAM支持,不需要DRAM所具有的带宽和容量,对存储器的要求主要在于温度和可靠性(SRAM能够工作于高温,一般不易受软错误和单粒子翻转(SEU)影响);Ø信息娱乐系统&仪表盘:随着信息娱乐系统与仪表盘的升级,高清显示屏需要DRAM满足高清晰音视频输入/输出的计算和缓冲需求。这两者通常采用“SRAM+SPIFlash+中低DRAM+eMMC”的存储方案;Ø自动驾驶/辅助驾驶系统:需要对摄像头、毫米波雷达、激光雷达等采集的环境数据进行融合、处理、执行,具有高带宽、高强度运算和大容量存储需求。目前采用的方案主要为“SRAM+SPIFlash+高DRAM+eMMC/UFS”方案。图表42:汽车不同ECU用到不同存储方案图表43:汽车各部分存储方案中泰电子动力传动/制动车身ECU信息娱乐/仪表盘系统辅助驾驶/自动驾驶系统处理芯片MCUCPU+GPU多核CPU+GPU+AI加速芯片存储方案SRAM+SPIFlashDRAM+SRAM+SPIFlash+EMMCDRAM+SRAM+SPIFlash+EMMC/UFS目前制程90/65nm向40nm迁移28nm向16nm迁移16/14nm及更高端若出现排版错位,可加微信535600147,获取PDF版本 来源:美光,中泰证券研究所来源:中泰证券研究所n综合考虑信息娱乐系统、数字仪表盘、后座娱乐系统、车联网、ADAS、高清地图存储与事故记录系统,车载存储容量有望在2015年达到1TB!图表44:车载数据量有望在2015年达到1TB来源:谷歌财报,中泰证券研究所n我们结合Tesla中控单元和autopilot系统拆解来看车载存储提升:Ø对ModelS中控单元拆解进行分析,其成本前三部分为显示面板模组、主要芯片、“触控模组+玻璃盖板+柔性PCB”。其中主要芯片包括英伟达Tegra3应用处理器、2GBDDR3SDRAM和8GB的eMMCNANDFlash,在整体成本中占比为17%,价值量约为95美金。Ø我们认为随着中控单元车载屏在尺寸与分辨率上的升级,采用与平板电脑一致的2-4GBDDR3/4SDRAM将成为标配内存方案。图表45:特斯拉ModelS中控系统拆解若出现排版错位,可加微信535600147,获取PDF版本 来源:IHS,中泰证券研究所Ø对autopilot2.0/2.5的拆解进行深度分析,发现随着处理器性能、数量升级和图形处理单元升级,内存与显存较第一代autopilot提升数倍!Ø第一代Autopilot采用MobilEyeEyeQ3+2块美光DRAM(大小不详),基本能够确定不会超过8GB。而从autopilot2.0与2.5拆解来看,2.0采用四块三星8GBLPDDR4DRAM,2.5由于多了一块Parker主控芯片,采用数量更是达到六块之多!此外2.0与2.5均采用4GBGDDR显存的NVIDIAGP106-510-KC板载芯片。图表46:autopilot2.0与2.5主要芯片构成来源:汽车电子设计,中泰证券研究所图表47:Autopilot2.0主板图表48:Tesla显示单元若出现排版错位,可加微信535600147,获取PDF版本 来源:汽车电子设计,中泰证券研究所来源:谷歌,中泰证券研究所n17年12月地平线重磅发布“旭日”、“征程”,中国首款嵌入式人工智能视觉芯片问世,同时会上也发布了基于征程处理器的智能驾驶方案。我们从中可以看到该方案搭载了两颗DRAM与一颗NORFlash。n近年来随着人脸识别、安防交通、智能驾驶等应用场景出现,计算机视觉领域应用呈爆发式增长态势。典型的计算机视觉系统包括芯片(核心所在,特定图像处理算法)、传感器(CMOS/CCD)、镜头等光学组件、通信和图像处理系统(包括端侧和云侧),整体市场空间目前接近60亿美元。我们认为随着处理数据量(图像像素、数量)和精度要求的提升,对计算机视觉芯片的需求也将持续提升,进而带动内存(DRAM)和存储(NAND&NOR)需求提升!图表49:地平线智能驾驶方案图表50:地平线人工智能视觉芯片具有DRAM与NOR接口来源:地平线,中泰证券研究所来源:movidius,中泰证券研究所n根据麦肯锡2018报告对车载存储整体产值进行的预测,预计到2020年车载存储整体产值将达到28.32亿美元,其中DRAM和NAND占比分别为51%、36%。图表51:2020年车载存储产值(百万美元)图表52:车载存储搭载容量情况若出现排版错位,可加微信535600147,获取PDF版本 来源:麦肯锡,中泰证券研究所来源:麦肯锡,中泰证券研究所n根据发改委最新《智能汽车创新发展战略》(征求意见稿),到2020年,中国标准智能汽车的技术创新、产业生态、路网设施、法规标准、产品监管和信息安全体系框架基本形成,智能汽车新车占比达到50%,中高级别智能汽车实现市场化应用。我们对中国智能驾驶渗透与DRAM空间进行测算,以2020年中国乘用车销量2770万辆、智能汽车渗透率50%、单车DRAM容量38GB来测算,仅中国车载DRAM空间就有望达到5.27亿GB。图表53:中国智能驾驶车辆渗透情况(万辆)图表54:智能汽车车载DRAM空间测算(GB)来源:易观智库,中泰证券研究所来源:中泰证券研究所测算韩国崛起启示录:殖产兴业+产业链一体化+大国市场纵深+自主研发n全球半导体硅含量提升周期,就是全球半导体工业的历史长河。上世纪70年代开始,全球半导体经历三次完整的半导体硅含量提升周期,我们正处于第四次半导体硅含量提升周期之中。图表55:半导体工业的历史长河-全球半导体硅含量周期若出现排版错位,可加微信535600147,获取PDF版本 197519791983198719911995199920032007201120152019E来源:中泰证券研究所n越南战争爆发,美国开始扶持韩国人,1969-1980年,十年时间初步建设韩国半导体工业体系,完成了对中国人的追赶。1980-1986年,韩国人通过对国内市场的保护,完成了企业本土化的过程。1986-1997年的第二次DRAM世界大战-日韩半导体战争,在美国人的倾力扶持下,韩国人全面崛起为全球半导体工业大国。1998-2010年的第三次DRAM世界大战-韩台半导体战争,韩国人完成了核心技术的“美国基因”转型为“独立自主基因”,自此,韩国成为仅次于美国的全球半导体强国。807060353025504030DRAM市占率科技红利及方向型资产研究20152010全球半导体市占率硅含量%10中国半导体产业的思考—随笔系列050图表56:大国启示录—DRAM和半导体工业—韩国来源:中泰证券研究所n韩国半导体产业发展始于代工业务。1966年美国仙童半导体(Fairchild)以及其严苛的条件向韩国政府提出了一个框架性的半导体制造及装配计划,即在要求对其所投资的工厂拥有完全所有权、并且其生产的产品可进入韩国国内市场两大条件下在韩国建厂。韩国政府同意后一时间引起其他美国半导体公司竞相投资韩国热潮,摩托罗拉、Signetics(Phillips)等公司开始陆续在韩国建设存储芯片封装、模组厂,开启了韩国半导体产业的发展。若出现排版错位,可加微信535600147,获取PDF版本 图表57:韩国半导体产业发展大事记来源:中泰证券研究所n构建韩国人版本的“官产学”三位一体,殖产兴业的财阀制度。1986年,在美国顾问的建议下,韩国政府举国之力,重金研制DRAM,并将4MDRAM列为国家项目。由韩国电子通信研究所(KIST)牵头,联合三星、LG、现代和韩国六所大学,一起对4MDRAM进行技术攻关,目标是到1989年,开发并批量投产4MDRAM,完全消除与日本人的技术差距。该项目三年中的研发费用高达到1.1亿美元,韩国政府承担了其中57%的投资。由此,韩国人版本的“官产学”一体成型。n在全球半导体工业发展历史中,依托政府、企业、科研院校力量完成重大国家项目的攻关和突破,无论美国、中国和日本,韩国人是最为极致的,非常类似于日本明治维新时代的“殖产兴业”的财阀制度。客观而言,在举国体制进行重大项目攻关上,韩国人的“殖产兴业”财阀制度,其效率是大大优于日本人的“官产学”三位一体。n官-政策&资金大力支持。80年代初“科技立国”思路开启韩国科技产业崛起之路。80年代初起韩国政府产业政策重点转向调整韩国产业结构,大力发展以电子工业和机械为代表的技术密集型产业,推动具有战略性核心技术的移植和国产化。韩国政府在这一时期意识到核心技术研发成败直接关系国家命运,1982年“第一次科学技术振兴扩大会议”和1984年的“技术振兴审议会”正式开启韩国“科技立国”战略。n1981年,韩国政府正式通过了《半导体工业综合发展计划》,该计划旨在支持4M、256MDRAM的开发,并通过人才的培养,促进半导体产业的基础建设。该计划具体明确了需要大力发展的四个领域:超大规模集成电路、计算机、通信设备和电子部件。在半导体领域,其计划侧重于晶圆制造,而非处于末端且技术含量较低的封装测试,并确立了将大规模生产内存芯片用于出口而非满足国内需求作为最可行的战略。n1986年政府公布新的长期经济发展计划,强调到2000年韩国将变为一若出现排版错位,可加微信535600147,获取PDF版本 个先进的信息国家。其目标是扩大高质量的通信设备、实现信息大众化、开发和扩散新媒体如电视会议和图文电视,完成邮局联机网络,开发高质量人力资本和扶持有希望的信息技术产品和企业。80年代末,一些与信息技术相关的项目,例如G7、电子-21、综合服务数字网、韩国卫星和国家计算机化等计划开始启动。图表58:80-90年底韩国发展半导体产业的主要政策若出现排版错位,可加微信535600147,获取PDF版本时期项目(计划)名称半导体工业实施目标该计划具体明确了需要大力发展的四个领域:超大规模集成电路、计算机、通信设备和电子部件。在半导体领域,若出现排版错位,可加微信535600147,获取PDF版本1981-1986年1982年综合发展计划长期半导体产业促进计划其计划侧重于晶圆制造,而非处于末端且技术含量较低的封装测试,并确立了将大规模生产内存芯片用于出口而非满足国内需求作为最可行的战略。韩国政府为四大主要半导体企业提供大量财政、税收优惠。若出现排版错位,可加微信535600147,获取PDF版本1983-1986半导体产业培育计划超大规模集政府在1983-1986年投资2600亿韩元建立半导体生产基地。以政府所属国家电子研究所为主,三星、现代、LG等大型企业参加,组成若出现排版错位,可加微信535600147,获取PDF版本1986-1991年1991年1990-1995年成电路技术共同开发计划G-7项目计划韩国半导体设备国产化五年计划半导体研发组合,集中人才、资金,进行1M到64M的DRAM核心技术开发,企业主要开发生产技术和工艺技术等,总投入1.2亿美元,政府出资50%。涵盖了VLSI(大规模集成电路)、ISDN、HDTV、智能计算机等7个领域。总费用643亿韩元,政府支持474亿韩元,占73.7%,工业开发费135亿韩元,占21%,其他为政府机构资助34亿韩元,占5.3%。优先发展半导体、计算机、通信和机若出现排版错位,可加微信535600147,获取PDF版本1993年21世纪电子发展计划1994年电子产业技术发展战略电产品,加强国际合作,改进电子生产技术,推行“逆向工程”,政府提供税收和财政优惠。选定七大战略技术作为重点开发对象,1999年之前,总投资20544亿韩元,其中政府出资9131亿韩元,占比若出现排版错位,可加微信535600147,获取PDF版本 44.5%。若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本2001年半导体关税减免在半导体设备和进口部件及原材料方面实行减免关税等予以支持。若出现排版错位,可加微信535600147,获取PDF版本2001-2005年半导体人才培育项目推进SoC计划人才培训和基地建设,在汉城大学、全北大学、庆倍大学等三所大学强化技术研究力量。提出2004年实现“开发用户移动电话若出现排版错位,可加微信535600147,获取PDF版本2004年IT839战略计划多媒体芯片集”、2005年退出移动通信低能芯片、2007年成为全球三强之一的目标。若出现排版错位,可加微信535600147,获取PDF版本来源:《我国集成电路产业发展之路》,中泰证券研究所n以半导体为代表的韩国信息产业发展至今天的规模,最关键一点在于政府的一系列强有力的支持政策。自80年代初以来韩国政府在鼓励产业发展和技术研发方面逐步形成一套完备的政策措施,主要包括:Ø税收鼓励措施;Ø政府财政补贴;Ø对科研投入和成果转化的大力支持;Ø贸易政策和政府采购扶持;Ø资本市场支持;n1、税收鼓励措施80年代初开始韩国政府通过税收等激励机制鼓励企业加大研发力度。根据韩国《技术开发促进法》建立的“信息技术开发投资体系”,要求公司保存20%的税前利润用于技术研发,对于研发费用给予10%的税额减免。此外还包括购买研发设备/不动产免交地方税、外国技术人员免征所得税,用于研发的进口物资享受低关税等等。此外,企业可以为研发相关的人力和设备开支提取储备基金,储备基金享受三年免税,储备基金规模最高可达企业营收5%。n2、政府财政补贴韩国政府在很大程度上利用国有银行对重点企业和提供补贴信贷,主要形式为降低半导体企业的借贷成本,并且随着规模的扩大开始为企业购买研发设备和产业化项目提供技术开发信贷。韩国开发银行(KDB)和韩国产业银行也相应为企业产品、工艺技术开发和产业化项目提供长期、低息的贷款。补贴形式包括对企业研发费用给予50%的补贴、对于从事新技术商业化的小微企业给予经费赞助等等。n3、对科研投入和成果转化的大力支持我们此前在进行科技红利分析时反复强调研发转化效率是科技企业立命之本,韩国在产业发展中期开始重点强调科研投入和成果转化的大力支持。1999年金大中在第一届国家科学技术委员会会议上决定建立由3万余名产业界、教育界专家参加的科技评价机制,对16个部、厅的国家级研发项目进行调查、分析、评价。对于评价为没有竞争力的项目无若出现排版错位,可加微信535600147,获取PDF版本 条件“下马”,以保证研发项目的转化效率,避免重复投资和重复研究。为进一步提高研发转化效率,韩国政府对积极采用科研成果的企业给予贷款等多方面支持。n4、贸易政策和政府采购为推动国内市场、扶持自身产业链,韩国政府实施了进口关税和进口配额等贸易政策,最典型的领域是电视机和传真机市场的进口限制。尽管这一时期并不长,但是在贸易政策保护下韩国电子产品的国内市场从1976年的11亿美元快速增长至1984年的60亿美元。此外政府通过公告政府采购计划(包括技术分工和国产采购比例等),吸引本国企业投入研发和生产。n5、资本市场支持韩国资本市场对于其半导体产业的支持可以分为一级市场和二级市场。一级市场以KTB(KoreaTechnologyBank)等为代表的风投机构为企业技术研发提供综合性支持,支持方式包括股权/债权投资、技术开发贷款、租赁服务等形式。二级市场则是通过促进高斯达克(KOSDAQ,韩国创业板)的发展解决有发展潜力企业的融资问题。从1999年崛起的韩国创业板市场投资热潮吸引大量民间资金涌入投资科技企业,在增强技术研发、改善财务结构方面发挥了巨大作用。n产——从三星半导体崛起来看n三星半导体的崛起一般而言可以划分为四个阶段:“事业起步引进海外”——“逐步开启自主研发”——“两次逆周期投资建立霸主地位”——“由单一存储业务进行横向扩张”图表59:三星电子发展大事记来源:中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 n第一阶段:1972-1984年,三星电子事业起步期,以引进海外技术为主n三星电子起步于家电代工。为促进国家电子工业的发展,韩国继日本1956年推行《电子工业振兴法》之后,也于1969年实施本国的《电子工业振兴法》,走上了把电子工业作为国家先进主导工业的发展道路。三星公司以此为契机,于1969年成立三星电子,时任三星董事长李秉喆从技术、劳动力状况、附加值、出口预期等方面考虑,电子业是最适合韩国国情的行业。同年,三星在工贸部帮助下和日本三洋合资成立三星三洋电机,为日本代工12寸黑白电视、洗衣机、冰箱等产品,这是三星第一次进入电子领域。n随着家电业务发展,三星电子意识到核心半导体器件的重要性,决心进军半导体。李健熙力排众议,以个人资金买下归侨姜基东博士创立的韩国半导体50%的股份、正式切入半导体产业。之后,三星于1975年9月开发出WatchChip,并于1976年开发出韩国国内最早的电子管。1977年12月,三星集团收购了韩国半导体公司剩余的50%的股份,同时还收购了当时仙童半导体的韩国子公司。n自80年代初起,三星集团为了适应需求结构的变化,拓展国际市场,决定着手开发VLSI半导体。1981年,三星为了开发VLSI(超大规模集成电路)半导体技术,成立了半导体研究所。三星于1982年成立了一个特别任务小组,研究进军VLSI的策略,认为DRAM是标准化产品,技术要求相对较低,有可能大规模生产,进行开发是最佳的选择。n1983年,三星从美国美光(Micron)公司引入了64KDRAM的技术许可,作为技术转让的一部分,三星可以派遣工程师赴美国培训,这使得三星吸收技术的能力大大增强。首先三星先从美光公司进口了3000个64KDRAM芯片,在韩国进行装配。由于有了多年的LSI的经验,三星很快吸收了VLSI的配装技术。n此后,三星在美国设立子公司,聘用当地的技术人员开发64KDRAM,并以每位年薪二十万美元的天价从加利福尼亚大学(李任星)、斯坦福大学(权五铉)、IBM(陈大济)、英特尔(黄昌圭)、ZILOG公司(李尚俊)等大学和公司招聘了5名具有半导体工作背景的韩裔半导体电子工程学博士,同时还招聘了300名美国工程师,这进一步为三星解开了所有关于DRAM超大规模集成电路芯片设计、生产制造等相关的、极其重要的公开知识和隐性知识。n同时,三星公司的硅谷研发团队将相关知识、信息和数据等传导回韩国国内的技术研发团队。很快,三星吃透了64KDRAM生产所需要的309项工艺技术,并制造了可行的生产模具,1984年上半年三星64KDRAM大规模批量上市,由此,韩国成为全球第三个能够大规模生产DRAM的国家。若出现排版错位,可加微信535600147,获取PDF版本 n1984年,三星完成64KDRAM量产技术后,镁光再次向三星半导体转让256KDRAM,同年,美国西翠克斯(CITRIX)公司向三星转让高速处理金属氧化物(MOS)的设计技术。1984年10月,三星开发出256KDRAM的生产模具;1985年4月,三星成功完成了256KDRAM芯片设计的电路图纸,仅用三个月时间,1985年7月,三星完成大规模批量256KDRAM生产模具定型;生产模具定型后的两个月,也就是1985年9月,三星256KDRAM开始批量生产试制;到1986年,256KDRAM已经开始大规模上市,再一次快速缩短了和美国人、日本人的技术差距。在256KDRAM技术攻关上,韩国人成功研制到大规模批量上市,用了2年时间,比64KDRAM又缩短了两年。n第二阶段:1986-1991年,逐步开启自主研发n1985年,全球市场出现周期性疲软,其他公司纷纷削减投资计划,但三星却继续对DRAM进行大量投资,开发更先进的芯片。这一战略使三星在1986年市场复苏时抢占了有利位置。随着三星电子相继开发成功64K、256K、1MDRAM半导体产品,外国的竞争对手开始牵制三星电子等韩国的半导体企业,三星电子很难再实施以前的外国技术导入战略。为了确保其在世界半导体市场上的竞争力,三星决定开发4MDRAM以上的超大规模集成半导体技术,这意味着同美国和日本在半导体技术开发的最前沿进行竞争。竞争环境的变化,使得三星电子在这一阶段的战备,从以前的依存于外国技术的半导体开发战略,转向并行开发自身技术的新战略。n1986年,三星电子在政府的支持下,与现代电子、LG电子合作成立了开发半导体技术的国家研究开发小组。在外国半导体企业拒绝向三星电子转让技术的情况下,三星电子将其资源更多地投向国家开发研究小组和自主技术的开发。三星尽管未能实施购买拥有4MDRAM技术的国外企业或研究机构的方案,但采用了聘请掌握4MDRAM核心技术的外国专家的做法。当时,正值美国半导体产业不景气,三星因而比较容易聘请到年轻的技术专家。这一战略对获取4MDRAM开发所需的外国技术并自主成功开发4MDRAM发挥了很大的作用。1988年,三星在韩国国内首先研制出4MDRAM,这比美、日只慢了6个月。图表60:三星DRAM追赶之路若出现排版错位,可加微信535600147,获取PDF版本 来源:《韩国半导体考察报告》、中泰证券研究所n逆周期积极投入研发,实现快速追赶。1985年,全球市场出现周期性疲软。64KDRAM的价格由1984年的4美元骤跌到0.8美元。其他公司纷纷削减投资计划,但三星却继续对DRAM进行大量投资,开发更先进的芯片(后段会对这一行为的背景做具体分析)。这一战略使三星在1986年市场复苏时抢占了有利位置。但随着三星相继开发出64K、256K、1MDRAM,国外的竞争对手开始对三星等韩国半导体公司有了警觉,不再向其转让相关的技术。为了确保其在世界半导体市场上的竞争力,三星决定自己开发4MDRAM以上的高阶产品。图表61:1987-1993三星的资本开支/收入比远高于世界平均水平来源:ResearchPolicy,中泰证券研究所n同时我们要强调的是,这一阶段三星崛起离不开美国对日本的限制。1985年日本猛增扩产,企图一举打垮美国DRAM的生产。面对日本在64KDRAM的降价,三星无力反抗,一年亏损高达3亿美金。1986年,英特尔和IBM紧急动员,联手对三星进行技术和经济扶植。同时依据《美日半导体协议》对日本人的约束,美国人对韩国人放开美国国内市场,若出现排版错位,可加微信535600147,获取PDF版本 韩国半导体企业迅速在美国国内市场占据30%的DRAM市场份额,仅仅一年时间,1987年三星实现扭亏为盈,度过最艰难和危险的时刻。n第三阶段:1992-2000年,二次逆周期投资建立霸主地位。1992年,三星全球第一个成功研制64MDRAM芯片。依靠64MDRAM,三星超越日本NEC,三星DRAM的全球份额达到17.8%,成为全球第一大半导体DRAM内存生产制造商,并在此之后,连续蝉联了25年的世界第一。1996年,三星开发出世界第一个1GBDRAM。三星电子的DRAM芯片出口额达到62亿美金,位居全球第一,日本NEC居第二。韩国现代电子以21.26亿美金居第三位。LG半导体以15.4亿美金居第九位。图表62:韩国厂商三星、现代、LG在DRAM市场份额提升显著来源:日本文献《半导体产业的技术发展》、中泰证券研究所n1998年亚洲金融危机,韩国半导体DRAM工业产值下滑幅度超过30%,进而拖累韩国半导体工业产值下滑幅度超过20%。三星会长李健熙决定断臂求生,除了三星电子、三星人寿保险、三星物产等核心业务外,其他业务全部出售。在韩国政府支持下,三星电子裁剪下属企业30%的员工,2.5万人就此失业,整个三星集团的裁员人数高达5.4万人。三星汽车被出售给法国雷诺。三星产业结构瘦身后,制定了专攻CDMA手机、半导体DRAM、TFT液晶面板、液晶电视等战略。三星开发出全球第一个128MSDRAM以及128MFLASH内存。三星开发出世界上最小的半导体封装技术,并成为全球第一个拥有4Gb半导体生产处理技术的厂商。三星开发了全球第一个256MDRAM内存。256MDRAM芯片,采用4-5层多晶的CMOS工艺,2-3层金属,最小线宽从0.35μm提高到0.25μm。n1999年三星电子开发出全球第一个1GFLASH内存原型,并成为全球第一个实现1GDDRDRAM芯片商业化的公司。三星开发出全球第一个1GHzCPU和全球第一个24英寸宽屏TFT-LCD。三星第一批大规模生产的256MSDRAM芯片出货。三星还开发出第一款可以具备DDR制造选项的128MSDRAM。7月,三星在全球率先将1GDDRDRAM投产。韩国半导体DRAM工业产值恢复增长,超过15%,这直接刺激当年度韩国半导体工业产值同比增长超过10%。若出现排版错位,可加微信535600147,获取PDF版本 图表63:三星在DRAM领域投资远高于其他公司(百万美元)来源:ResearchPolicy,中泰证券研究所图表64:2003年三星电子工厂的生产能力及主要产品工厂线宽(μm)生产能力(W/M)主要产品FAB13(12英寸)<0.1320K512M/256MFAB12(12英寸)0.1320K512M/256MFAB11(8英寸)12英寸中等规模生产线0.15/0.1340K512M/256M/128M0.15/0.135K-6K256M/128MFAB100.17/0.1540K512M/256M/128MFAB90.17/0.1540K256M/128M/64MFAB80.19/0.1740K256M/128M/64MFAB70.23/0.1960K128M/64MSRAM/闪存FAB60.25/0.2360K64M/16MAsynch/SRAM/内存FAB50.25/0.2330KASIC,逻辑,SOCAustin0.23/0.1930K256M/128M来源:ResearchPolicy,中泰证券研究所整理n挺进中国大陆市场,构筑广阔的战略纵深。2011年韩国三星与日本东芝在NANDFLASH领域展开全球竞争。当时三星在韩国华城(Fab12、Fab16)、器兴(Fab14)和美国德州奥斯汀,共有4座NANDFLASH12英寸晶圆厂,年产能450万片晶圆。为了拉开与东芝的差距,三星决定新建NANDFLASH工厂。n经过谈判,三星最终选择落户中国西安,项目总投资300亿美金,分三若出现排版错位,可加微信535600147,获取PDF版本 期建设。西安市为此项目提供了巨额补贴,包括:1、三星需要的130万平方米厂房,由西安市建设并免费提供1500亩土地。2、西安市每年向三星补贴水、电、绿化、物流费用5亿元。3、西安市财政对投资额进行30%的补贴。4、西安市对所得税征收,前十年全免,后十年半额征收。同时西安市还承诺,将为项目修建高速公路和地铁等交通基础设施,总的补贴金额保守估计在300亿元以上。n我们需要注意的是,2011年三星半导体全球销售金额也不过才285.63亿美金,300亿美金总投资的西安项目对于“韩日NANDFLASH战斗”的意义之重大性,不言而喻。当三星的西安项目落成之后,2016年东芝就过不下去了,2017年东芝不得不出售存储部门。韩国取得了韩日之间NANDFLASH战斗的胜利,这就是韩国人的“反周期投资”。n鸡蛋不能放在一个篮子里,三星决心调整业务结构。1996年世界性半导体市场下滑,16MDRAM的价格从50美元/片下降到10美元/片。韩国存储器比重占据了9成,而全球存储器与非存储器比例一般为25%:75%,严重倚赖存储器产品使得韩国半导体产业极易受DRAM市场的波动。老天不作美,1997年的亚洲金融风暴和1996年的不景气使得韩国半导体产业销售额从1995年162亿美元的顶峰跌落到1997年的97亿美元的低谷。韩国半导体产业经受这两次打击之后,意识到了偏重存储器的产业结构是一个隐患,需要加快产业结构调整,只有积极非存储器产品的设计和开发才会争取到更大的发展空间,韩国企业开始由DRAM→Memory→非Memory转变。n三星电子当时确立了两条腿走路的策略:一方面继续提高自己DRAM产品水平,开发了1GDRAM的样品,并于2002年批量生产。同时也利用自己在存储器上的技术优势,研发一些新型存储器如MRAM、FRAM、SingleElectronMemory等产品。另一方面积极拓展非存储器业务。早年三星电子从德国西门子公司购买到了用于SmartCard的IC技术,又从SGS汤姆逊公司购得了关于32位MPU和16位DSP的许可权。1993年5月,完全收购HarrisMicrowave,取得砷化镓IC和光半导体技术等。利用这些购买来的技术,三星加快了非存储器产品研发步伐。2002年,三星电子成功完成了7种非存储器的SOC、LCD驱动芯片、CIS、RF等四种LSI产品的国产化,并正式投入批量生产。同时还大批量生产TFTLCD,成功打入笔记本电脑显示屏的供应链。n三星的第一款实用的移动处理器诞生于2000年,使用的还是250nm制程,运行频率只有66MHz,也没有集成图形加速器。但是,作为三星的“处女作”,它有两个值得关注的特点:一是选取了ARM指令集和硬件架构作为今后的开发方向,二是重视和市场的实际需求结合,初代产品就有厂商采用并成功出货、上市。之后,三星成功地开发出了一系列基于ARM9和ARM11架构的SoC芯片,2016年三星发布了第一款拥有自研架构的ARM芯片Exynos8Octa8890主控。从目前三星只在旗舰上使用自研架构,而且也仅限大核心来看,其架构设计能力客观地说和高通、NVIDIA等还是有一定差距的。不过三星已经有了两年的研发积累,若出现排版错位,可加微信535600147,获取PDF版本 未来完全有能力实现全自研——甚至于中低端的主控、用于智能穿戴和物联网低功耗设备上的SoC可以从中受益。n在消费电子方面,2013年三星电子开发了ISOCELL(像素分离)技术,新一代图像传感器技术。比起传统BSI传感模块,增高20%主光线入射角(ChiefRayAngel)的ISOCELL,使模块更薄,让消费者在享受手机高画质色彩还原的同时,也能够拥有更薄的机身。2014年三星电子推出三款图形传感器(CIS)产品,进军中国市场。2018年三星S9+更是用上了自家研制ISOCELLFast2L3CMOS传感器,实现了从存储器到处理器、基带、电源管理、CMOS图像传感等核心元器件完全自主可控。图表65:三星S9+BOOM表拆分来源:systemplus,中泰证券研究所n海力士图表66:海力士发展大事记(前身为现代电子与LG)若出现排版错位,可加微信535600147,获取PDF版本 来源:中泰证券研究所n海力士的前身是现代电子和LG半导体。1983年现代电子成立,从美国聘请了75名韩裔美籍的科学家,同时在韩国国内招聘了35名工程师,组建了现代电子的半导体研究开发的核心力量。现代在美国硅谷成立一个技术研究中心,主要是韩裔美籍科学家以及美国当地的工程师组成,主要承担半导体集成电路方面的研究工作,同时对韩国人进行培训工作。n1984年,仙童将16K、64KSRAM技术转让给现代电子。在仙童的帮助下,现代电子的美国技术研究中心成功开发了16KSRAM芯片。同年,现代与美国Vitelic公司达成OEM代工协议。1985年,德州仪器与现代签订OEM协议,由德州仪器提供64KDRAM的工艺流程,全面提高了现代的半导体工艺技术水平。德州仪器与现代签署了256KDRAM的OEM代工协议,在德州仪器帮助下,现代电子才彻底解决了256KDRAM生产工艺的所有“疑难杂症”。n金星(Goldstar,LG前身之一)先在1975年成立半导体事业部,其后在1979年设立金星半导体(GoldstarSemiconductor)为独立公司,购并大韩半导体(TaehanSemiconductor),并自日本富士通取得技术授权。此外金星1981年派遣大批技术人员到美国的WesternElectric及Honeywell移转技术,并于1986年接收韩国电子技术研究所(KIET)的IC实验工厂,并与日本的日立签约,引进1M及4MDRAM的技术,同时为日立代工生产DRAM。n“官产学研”带动着海力士迅速发展。在美国的建议下,韩国政府举国若出现排版错位,可加微信535600147,获取PDF版本 之力,推行“超大规模集成电路技术共同开发计划”,重金研制DRAM,并将4MDRAM列为国家项目。为达此目标,韩国政府推动了三大半导体公司-三星、LG和现代电子共同结盟进行技术开发。由韩国电子通信研究所(KIST)牵头,联合三星、LG、现代和韩国六所大学,一起对4MDRAM进行技术攻关,目标是到1989年,研发并批量投产4MDRAM,完全消除与日本人的技术差距。在4MDRAM国家项目上,三星公司技术路径是栈式结构;LG公司的技术路径是模拟数字式结构;现代公司的技术路径是沟式结构。n经过了80年代的洗礼,韩国半导体的巨头就只剩下了三星、金星、现代三家,而这三家凭借着DRAM在全球半导体市场占据了一席之地。1990年,三星几乎与先进国家同时开发出16MDRAM,而在1992年,三星、LG、现代几乎同时研发出64MDRAM,跻身国际一流半导体企业。从1993年开始,随着个人电脑的普及,日元升值,半导体产业开始复苏。1994年,三星、LG、现代DRAM的销售额分别列世界第一、第七、和第十,国际市场占有率分别为14.9%,6.0%,5.8%。到了1995年韩国整个电子产业的发展达到巅峰,占到全球半导体产品产值的10.7%。图表67:1990-2005全球DRAM市场份额变化情况来源:《2005年韩国半导体产业发展年鉴》、中泰证券研究所n现代收购LG半导体,则是集中优势打歼灭战。1999年受金融危机的影响,现代电子收购LG半导体,意图抱团取暖。LG半导体公司设有存储器、ASIC、MCU和Micro四个研究开发部。在存储器方面,1GDRAM,256MDRAM,64MDRAM和FLASH取得较大的进展。在非存储器领域,已成功开发了多逻辑、线性和为各种系统应用的驱动电路。现代电子公司的存储器产品范围包括从视频RAM到MaskROM/FLASH到各种IC卡,非存储器产品有用于数据处理的各种逻辑电路、通讯电路及家用消费类电路。合并后现代电子的产值规模达到三星的70%,其产品99%均为存储器业务。而剥离半导体业务的LG公司则可以腾出手,开始向LCD显示面板进军。图表68:2003年海力士工厂的生产能力及主要产品若出现排版错位,可加微信535600147,获取PDF版本 工厂线宽(μm)生产能力(W/M)主要产品CFAB80.18/0.1530K-40K256M/128MCFAB70.12/0.1845K256M/128MCFAB50.23/0.21/0.1835K128M/64MCFAB40.28/0.2530K64M/16M/SOCFAB70.20/0.18/0.1635K256M/128MFAB60.18/0.1640K32M/内存FAB40.2813K逻辑Eugene0.20/0.1835K256M/128M来源:ResearchPolicy,中泰证券研究所nRambusVsDDR,海力士一战成名。2001年现代电子更名为海力士(Hynix),其后宣布脱离集团,自立门户。随后,面对英特尔主推Rambus内存,海力士和美光、英飞凌坚决抵制,并抓紧研发自己的DRRDRAM。2002年,海力士开发出了1GDDRDRAM模块,并在世界上首次开发高密度大宽带256MB的DDRSDRAM,它还开发出超低功耗,0.10微米工艺技术,512MB的DDRDRAM。这为RambusVsDDR内存标准战争中占得先手。英特尔主推的Rambus内存完败于DDRDRAM内存,DDRDRAM成为市场主流标准,DDR完胜。DDR奠定胜局后,海力士成为仅次于三星电子,全球半导体DRAM内存市场的亚军,双强格局由此奠定。n同样依托中国广阔的市场,海力士构建起了自己的战略纵深。陷入1997年东南亚金融危机的韩国海力士(Hynix),以3.8亿美金的价格,将TFT-LCD部门整体售给京东方,海力士就此专注于DRAM领域,并获得宝贵的资金和中国市场。2004年,海力士和意法半导体在无锡设立12寸晶圆厂,项目总投资20亿美金。其中,海力士和意法半导体出资10亿美金,主要是2亿美金的二手设备折价、5.5亿美金现金和2.5亿美金股东贷款。另外10亿美金由无锡市政府承担。另外,在20亿美金总投资之外,无锡市政府还承担厂房建设,无锡市政府一共出资3亿美元建设两座占地54万平方米,面积32万平方米的晶圆厂房,租赁给韩国海力士及意法半导体使用。n2006年海力士90纳米技术生产的8英寸晶圆顺利下线,合格率超过95%。工商银行江苏分行牵头,11家中资银行、9家外资银行组成贷款团对无锡海力士项目放贷5年期的7.5亿美金贷款。海力士拿着韩国利川工厂淘汰的8英寸晶圆设备,依靠中国资金、土地、工人和中国市场,用区区3亿美金撬动了一项20亿美金的投资。n2008年全球金融危机爆发后,一年时间内,全球DRAM产业累计亏损超过125亿美金,台湾省DRAM产业更是全线崩盘。而海力士依托中国大陆市场的战略纵深,凭借无锡海力士的投产,仅仅一年时间就恢复元气。2009年第一季度,海力士净亏损为1.19万亿韩元(9.33亿美金),2009年第二季度,净亏损仅为580亿韩元(0.45亿美金)。到2009年若出现排版错位,可加微信535600147,获取PDF版本 下半年,海力士扭亏为盈。2010年第一季度,海力士净利润暴涨到7.38亿美金。2010年全年,海力士全球销售额达到12万亿韩元(107亿美金),净利润高达26.7亿美金。组建“官产学联盟”推动了韩国半导体的发展n20世纪60年代,韩国通过“拿来主义”,引进国外技术进而模仿、消化和吸收,再加上”走出去、请进来“的人才战略,实现了科技开发从无到有的转变。政府主要采取企业直接或间接(合资或合作)引进成熟的技术,科研部门通过引进专利技术进行创新研究等方式移植外国生产技术来发展自己的半导体产业。这其中最有代表性的科研部门就是KIST。1966年,韩国科学技术研究所(KIST)的成立。从成立之日起,KIST就一直是带领韩国科技技术复兴和发展的领导性机构之一。在80年代和90年代,KIST致力于高新工业核心技术的研发,为韩国前沿性产业升级做出了杰出贡献。n到了70年代,韩国政府大力推进以集成电路为主体的“官产学研合作”的政策。首先政府直接投资建立相应集成电路研发机构,如1975年成立韩国高级科学技术研究所(KAIST)、1976年成立韩国产业经济技术研究所(KIET),主要负责引进、吸收和传播国外技术,进行VLSI的研究,同时还负责半导体产业国家级科研项目的开发,KIET还于1981年成功研制出4英寸CMOS半导体。随着韩国第三次经济发展五年计划的出台,韩国政府也制定了引进和培养人才的政策,这些人才对半导体产业界和学术界都做出了很多重大的贡献。n联合大学,组建产学联盟。1986年,韩国政府推行《超大规模集成电路技术共同开发计划》,目标是在1989年开发出4MDRAM并规模生产,完全消除与日本人的技术差距。为此,韩国政府推动三星、LG、现代结盟进行技术开发,并由电子与电信研究所(EM)作为三大厂商和6所大学的中间协调者。1986-1989三年间,研发项目共计投入1.1亿元,韩国政府承担了其中57%的研发经费。图表69:韩国产学合作模式一览若出现排版错位,可加微信535600147,获取PDF版本 来源:中泰证券研究所整理n在这种“官产学研”共同的推动作用下,韩国成功地超过美日,成为全球最大的DRAM和NANDFLASH生产地区。也成为继美日的全球第三大半导体国家。n进入90年代,韩国集成电路产业发展政策的基本方向发生了改变,在巩固和扩大存储器集成电路取得的成功上,加大对非存储器集成电路领域的投资开发力度,实现产品结构的合理化。在1993年推出的“电子-21“计划中,韩国政府将逻辑电路等非存储器集成电路和大直径硅晶片列为攻关项目。通商产业部与企业各投资20亿韩元,成立集成电路设施中心,与韩国科学技术部、汉城大学、延世大学协作,培养ASIC设计人才。再次发挥”官产学研“的优越性,开展对非存储器的研发。图表70:1990-2005全球半导体市场份额情况来源:2005年韩国半导体产业发展年鉴、中泰证券研究所n加大科技红利之有效研发投入,压强原则,快速提升压强系数,对重点若出现排版错位,可加微信535600147,获取PDF版本 项目重点攻关。n从1990年开始,到1994年已经高达9亿美元。在专利技术方面,1989年韩国的专利技术应用有708项,1994年已经上升到3336项。韩国三大企业重金投入,建立了完善的赶超日本DRAM产业的研发体系。三星建立了26个研发中心,LG建立了18个,现代建立了14个。与之对应的是,研究费用成倍投入,1980年三星在半导体领域的有效研发投入仅有850万美元,n科技红利投入,特别是有效研发投入,使得韩国人仅仅用了5年时间,就完成了对日本人的追赶,仅仅用了3年时间,就完成了对日本人的超越。韩国三星第一块64KDRAM投放市场时是1984年,比美国人足足晚了40个月;第一块256KDRAM投放市场时是1986年,比日本人晚了24个月;但第一块1MDRAM投放市场时是1986年,比日本人只晚了12个月;而1989年三星第一块4MDRAM与日本人几乎是同时投放市场的。到1992年,三星开始领先日本,推出全球第一个64MDRAM产品。n而同时期的日本半导体工业,从1985年开始,日本经济进入泡沫化,全民炒房。1985年日本人砍掉了近40%的设备更新投资和科技红利投入,1986-1987年日本人有效研发投入从4780亿日元降低到只有2650亿日元,下降幅度达到80%,这就给了韩国人反超的机会。这就是大家所熟悉的,韩国人在半导体领域的所谓的第一次“反周期投资”。韩国DRAM产业—快速提升压强系数,实现对日本人的大逆袭2500200015001000500096.82%70.19%120.00%100.00%80.00%60.00%科技红利及方向型资产40.研00%究25.79%科技红利之有效研发投入同比增速11.425%.805.0%0%20.00%图表71:韩国DRAM产业科技红利研发投入和增速来源:中泰证券研究所n1992年,韩国人64MDRAM略微领先于日本人和美国人成功研制后,韩国人并没有停下科技红利之有效研发投入,1993年,反而通过压强原则,重点攻击,1993年,科技红利之有效研发投入同比增长了70.19%,巩固对日本人的领先优势。1995年,韩国人再次快速提升压强系数,科技红利之有效研发投入再次大幅度提升,同比增速高达96.82%,之后1996-1997年连续两年保持高位压强系数状态。这才有了1998年,128MSDRAM、128MFLASH、256MDRAM、1GDRAM的全球第一个推出市场,从而完美的实现大逆袭。n第三,产业链垂直一体化,加强上游设备和电子化学品原材料的国产化。若出现排版错位,可加微信535600147,获取PDF版本 上世纪90年代,韩国政府主导推出总预算2000亿韩元(2.5亿美元)的半导体设备国产化项目,鼓励韩国企业投资设备和电子化学品原料供应链。韩国工贸部在汉城南部80公里的松炭和天安,设立两个工业园区,专门供给半导体设备厂商设厂。为了获取先进技术,韩国人以优厚条件招揽美国化工巨头杜邦、硅片原料巨头MEMC、日本DNS(大日本网屏)等厂商,在韩国设立合资公司。由此,韩国人半导体产业链上游关键设备和电子化学品原材料初具规模。n第四,产业链横向扩张,从存储器芯片到CPU芯片、DSP芯片等等。以三星为例,通过与美国、欧洲企业建立联盟合作关系,三星在DRAM之外,获得了大量芯片产业资源:从美国SUN公司引进JAVA处理器技术;从法国STM(意法半导体)引进DSP芯片技术;从英国ARM引进音视频处理芯片技术;与日本东芝、NEC、冲电气(OKI)展开新型闪存FLASH方面的技术合作等。客观而言,产业链横向扩张,对于中国是很难复制的,即使我们溢价用巨额资金购买也是诸多困难。但是,坚持产业链的横向扩张,这是成为半导体强国的必经之路。n第五、大国市场纵深《美日半导体协议》,打开日本市场,同时美国市场对韩开放。1986-1997年,美国人“扶韩抗日”,在美国人全力扶持下,特别是1985、1991年《美日半导体协议》的签署,到1994年,韩国人在64M、256MDRAM完成对日本人的从追赶到领先。这一时期,韩国半导体工业产值超过225亿美金,期间增长了437%;韩国半导体出口产值131亿美金,期间增长了418%。韩国人完成了从半导体发展中国家到全球半导体工业大国的转变。n挺进中国大陆市场,构筑广阔的战略纵深。挺进中国大陆市场,韩国人具有了广阔的战略纵深。n我们以海力士为例:n陷入1997年东南亚金融危机的韩国海力士(Hynix),以3.8亿美元的价格,将TFT-LCD部门,整体售给中国京东方,海力士就此专注于DRAM领域,并获得了宝贵的资金和中国市场。2004年,海力士和意法半导体在无锡设立12寸晶圆厂,项目总投资20亿美金。其中,海力士和意法半导体出资10亿美金,主要是2亿美金的二手设备折价、5.5亿美金现金和2.5亿美金股东贷款。另外10亿美金,由无锡市政府承担。另外,在20亿美金总投资之外,无锡市政府还需要承担厂房建设,无锡市政府一共出资3亿美元,建设两座占地54万平方米,面积32万平方米的晶圆厂房,租赁给韩国海力士及意法半导体使用。n2006年,海力士90纳米技术生产的8英寸晶圆顺利下线,合格率超过95%。工商银行江苏分行牵头,11家中资银行、9家外资银行组成贷款团,对无锡海力士项目放贷5年期的7.5亿美金贷款。海力士拿着韩国利川工厂淘汰的8英寸晶圆设备,依靠中国资金、土地、工人和中国市场,用区区3亿美元撬动了一项20亿美元的投资。n面对东南亚危机,依托中国市场战略纵深,韩国人仅用2年时间就恢复了元气。特别是海力士,2000年,DRAM整体月产量由第三季度的6500万颗,第四季度就快速扩增到8000万颗,增长了23.07%,同时128M以上产品的生产比重由20%提高到36%。Ø128MDRAM:3个月内,月产量由650万颗,提高到1400万颗,增长了115%。若出现排版错位,可加微信535600147,获取PDF版本 Ø256MDRAM:4个月内,月产量由40万颗,提高到140万颗,增长了250%,并将生产比重由2.4%提高到6%。n2008年全球金融危机爆发后,一年时间内,全球DRAM产业累计亏损超过125亿美元,台湾DRAM产业更是全线崩盘。南亚科,从2007年起,连续亏损了六年,累计亏损1608.6亿元新台币(约49亿美元)。华亚科技从2008年起,连续亏损五年,累计亏损804.48亿元新台币(约24.4亿美元)。这两家由台塑集团投资的DRAM厂,一共亏损2413.08亿元(约73亿美元)。其他台湾DRAM企业亏损分别为,力晶亏损565亿元,茂德亏损360.9亿元。台湾五家DRAM厂几乎每天亏损1亿元,合计亏损1592亿元新台币(约48亿美元)。n反观韩国人,依托中国大陆市场的战略纵深,凭借无锡海力士的投产,海力士仅仅一年时间就恢复元气。2009年第一季度,海力士净亏损为1.19万亿韩元(9.33亿美元),2009年第二季度,海力士净亏损仅为580亿韩元(0.45亿美元)。到2009年下半年,海力士扭亏为盈。2010年第一季度,海力士净利润暴涨到7.38亿美元。2010年全年,海力士全球销售额达到12万亿韩元(107亿美元),净利润高达26.7亿美元。n金融危机中,依托中国大陆市场,韩国人化“危”为“机”。随后,海力士又向中国商务部提出,增资15亿美元再建一座12英寸晶圆厂(80纳米工艺),并迅速通过审批。这就是韩国人所谓的“反周期投资”。n再比如,三星,依托中国大陆市场纵深,在“韩日NANDFLASH战斗”中,彻底打垮老对手日本东芝。n2011年,韩国三星与日本东芝在NANDFLASH领域展开全球竞争。当时三星在韩国华城(Fab12、Fab16)、器兴(Fab14)以及美国德州奥斯汀,共有4座NANDFLASH12英寸晶圆厂,年产能450万片晶圆。为了拉开与东芝的差距,三星决定新建NANDFLASH工厂。n经过谈判,三星最终选择落户中国西安,项目总投资300亿美金,分三期建设。西安市为此项目提供了巨额补贴,包括:1、韩国三星需要的130万平方米厂房,由西安市建设,并免费提供1500亩土地。2、西安市每年向三星补贴水、电、绿化、物流费用5亿元。3、西安市财政对投资额进行30%的补贴。4、西安市对所得税征收,进行前十年全免,后十年半额征收。同时,西安市还承诺,将为项目修建高速公路和地铁等交通基础设施,总的补贴金额保守估计在300亿元以上。n我们需要注意的是,2011年三星半导体全球销售金额也不过才285.63亿美金,300亿美金总投资的西安项目对于“韩日NANDFLASH战斗”的意义之重大性,不言而喻。当三星的西安项目落成之后,2016年日本东芝就过不下去了,2017年东芝不得不出售存储部门。韩国人取得了这场“韩日NANDFLASH战斗”的胜利,这就是韩国人的“反周期投资”。n中国大陆市场这一广阔的战略纵深,在2008年全球金融危机,使得韩国人仅仅一年时间就恢复元气,进而彻底打垮台湾厂商,在全球半导体存储器的垄断地位一直延续至今。n全球半导体产业的竞争,已经不仅仅是科技的竞争,更是涵盖了政治、经济等综合实力的国运之战。具有一个广阔的战略市场纵深,意义是非凡的。这一点,商业案例叫“反周期投资”。但如果没有中国大陆市场战略纵深,估计韩国也不敢轻易进行“反周期投资”。作为产业研究员,我们不能够人云亦云,简单的复制别人的观点,要独立自主的思考和分若出现排版错位,可加微信535600147,获取PDF版本 析。n国家力量出手,进行12寸晶圆、设备等大投入,并完成内存核心技术的“独立自主”化。n面对东南亚金融危机,韩国政府出台四年计划,投资2650亿韩元(2亿美元),引导企业向高性能CPU处理器、12英寸晶圆设备等尖端领域发展。面临Rambus和DDRDRAM内存标准的战争,韩国人完成了DRAM内存核心技术从“美国基因”转型为“独立自主基因”。n2002年,英特尔主推的Rambus内存完败于DDRDRAM内存,DDRDRAM成为市场主流标准。韩国人完成了核心技术的“独立自主”化,随后,在512MGDDR4、JEDEC标准8GDDR2R-DIMM、50nm1GDRAM、60nm1GDDR800MHz基础模块、全球最高速的200MHz512MMobileDRAM、30nm64GNANDFLASH、全球最高速的MobileLPDDR2、MetaRAMtm技术的16G2-RankRDIMM、40nmDRAM、44nmDDR3DRAM等,创造了无数个全球第一。这是韩国人在全球DRAM内存产业最辉煌的时期。由此,三星和海力士,成为韩国半导体,乃至全球半导体内存市场的两大豪门,双寡头垄断格局由此奠定。n纵观韩国半导体工业发展的历程,起步发展于第一次全球半导体硅含量提升周期,在美国扶持下,韩国人快速分享了PC电脑快速普及的时代。韩国人充分分享第二次、第三次全球半导体硅含量提升周期所带来的笔记本、手机、家电、智能手机等快速普及的市场红利。若出现排版错位,可加微信535600147,获取PDF版本 国产存储进展里程碑:中国第二次大投入+独立自主研发+大国市场纵深合肥长鑫睿力正式投片,国产存储迎来关键节点n亮剑DRAM,正式进军DRAM存储器领域,国产存储旗舰起航,预计年底量产成功,从目前顺利进展来看,合肥DRAM项目还有大概率超预期的可能性。2017年10月26日,兆易创新与合肥产投签署合作协议,约定双方在安徽省合肥市经济技术开发区合作开展工艺制程19nm存储器的12英寸晶圆存储器(含DRAM等)研发。n合肥506项目介绍:包含合肥长鑫、长鑫存储、睿力集成三个主体。项目预算约为180亿元人民币,公司与合肥产投依据1:4负责筹集资金,正式进军DRAM项目,目标是在2018年12月31日前研发成功,即实现产品良率(测试电性良好的晶片占整个晶圆的比例)不低于10%。根据市环保局公示,项目一期为12寸19nmDRAM芯片生产线,建设地点位于合肥空港经济示范区,建设目标为一条12.5万片/月(150万片/年)的DRAM产线,总投资500多亿,一期12寸厂用地850亩(三期总共1582亩)。图表72:合肥长鑫重要时间节点来源:芯思想,中泰证券研究所n半导体全球发展从美国到日韩、台湾,半导体产业转移是主要战场,得DRAM者得天下,中国半导体产业要崛起,存储芯片必然要突破。根据WSTS,2017年全球半导体销售额4200亿美金,存储芯片销售达到1200亿美金,占比30%,2018年预估到1600亿美金。而DRAM以700亿美金的销售额占据了存储芯片接近60%的比重,三星更是凭借存储芯片市场的垄断地位,结束intel的盈利霸主地位,算力的大幅提升以及汽车、云、物联网、安防、人工智能数据的应用存储的需求持续增加,以及未来存储在人工智能架构上的应用,存储芯片作为半导体战略产品,特别是dram全球三家垄断,下游产业面临供应商风险,必须攻克!n根据电子产业信息网等报道,7月16号,长鑫存储存储器项目首次投片总结大会在合肥召开,这是中国半导体产业一个重要的里程碑,在历来半导体主战场的存储芯片领域,合肥长鑫作为大陆第一家存储大厂宣布正式投片!其中结构片流片顺利结束从产业经验来看意味着设备联调顺若出现排版错位,可加微信535600147,获取PDF版本 利、前期结构设计合理,但并不进行电学性能测试。近期电性能晶圆投片正式开始,流片次数上市场普遍存在误判,实际呈流水线式连续流片,年底前大概率实现10%的19nmDDR4DRAM研发良率突破、明年起开始产能爬坡!n国开总行领导亦于近日调研DRAM项目,从项目领导层到国家支持有望持续迎来增强推动。根据合肥产投官网,国开总行领导于7月13日调研DRAM项目,对阶段性成绩充分肯定;7月23日省委书记李锦斌赴506项目调研,对合肥项目的速度和质量给予了充分肯定。我们认为随着项目顺利推进,从项目领导层到国家层面支持力度有望迎来持续增强!长江存储进军3DNAND,首批32层3DNAND年内量产n2016年紫光集团联合集成电路基金、湖北省科投等在武汉注册成立长江存储,目前为清华紫光集团的子公司,长江存储整合已成立10年的武汉新芯。16年3月武汉新芯宣布投资240亿美元研究生产NANDFLASH和DRAM。n国家存储器基地项目介绍:主要产品为3DNAND,预计5年投入1600亿元(约240亿美元),到2020年月产能30万片,年产值将超过100亿美元。2030年月产100万片。项目预计4Q18实现32层64GNANDFLASH小规模量产,初期月产能5000片。存储器基地包括3座全球单座洁净面积最大的3DNANDFlash厂房、1座总部研发大楼,第一阶段厂房于2017年9月完成兴建,核心厂区占地面积约1717亩。图表73:长江存储国家存储器基地来源:公司官网,中泰证券研究所n2017年2月,长江存储研发团队中科院微电子所发布,国产32层3DNANDFLASH芯片取得突破性进展;11月长江存储将32层3DNAND芯片导入SSD内,进行终端产品测试成功,这意味着中国第一颗3DNAND闪存芯片研制成功,填补国内空白。18年4月首批400万美元的精密仪器抵达武汉,未来两年内将从全球进口近3万吨精密仪器。预计设备搬入、调试耗时3个月,然后开始小规模试产。预计3Q18开始若出现排版错位,可加微信535600147,获取PDF版本 移入机台,4季度进行试产,初期投片不超过1万片。图表74:长江存储重要时间节点来源:芯思想,中泰证券研究所n至此,国家存储器基地从厂房建设阶段进入量产准备阶段,中国首批拥有完全自主知识产权的32层3DNAND闪存芯片将于年内量产。公司计划今年10月设备点亮投产,2019年底64层3DNAND产能爬坡量产,单颗容量128Gb(16GB)。长江存储32层3DNAND研发成功、64层产品研发进展迅速,是是“中国芯”的一大步,我国在高端芯片领域与国外差距不断缩小。福建晋华专注DRAM,预计将于今年9月投产n福建晋华存储项目由福建省电子信息集团和泉州、晋江两级政府共同投建,与台湾联华电子合作,专注于DRAM领域,总规划面积594亩,一期投资370亿元,预计2018年9月月产6万片12英寸内存晶圆,该项目已纳入国家“十三五”集成电路规划,并获得国家大基金支持。n技术方面,晋华委托联电开发利基型DRAM相关制程,初期为32nm制程,之后逐步升级。晋华提供设备与资金,并支付联电技术报酬金,开发成果由双方共同拥有。2016年7月一期项目开工,按计划2018年6月工程建设竣工,9月正式投产,一期月产能6万片,预计年销售额12亿美元。图表75:福建项目建设进展时间项目20162月26日公司注册成立7月9日项目正式开工9月EPC总承包商进场施工年底完成桩基施工20171月生产厂房启动施工4月27日启动钢结构吊装7月11日办公楼封顶11月生产厂房封顶20185月厂房内部装修和机电安装6月工程建设竣工若出现排版错位,可加微信535600147,获取PDF版本 9月生式投产来源:集微网,中泰证券研究所n2018年第三季度晋华集成已经正式移进机台设备。预估2018年9月正式投产,到2019年底一厂一期项目可实现月产6万片12英寸晶圆的产能,到2020年底一厂二期也将达产6万片。并适时启动二厂的建设,到二厂达产时,总产能将达24万片。其目标为2025年形成1000亿产业规模。图表76:晋华集成重要时间节点来源:芯思想,中泰证券研究所先进工艺+特色工艺代工双杰:中芯国际、华虹半导体半导体行业持续演进,垂直分工成趋势n半导体行业持续演进,垂直分工成趋势。全球半导体分为IDM(IntegratedDeviceManufacture,集成电路制造)模式和垂直分工模式两种商业模式,在台积电成立以前IDM模式一家独大,随着半导体制造规模效应的凸显,以及技术和资金壁垒的提升,IDM模式下的厂商扩张难度加大,沉没成本提高。而以台积电为代表的Foundry模式的确立,使得只做代工并服务于IC设计公司的垂直分工模式大获成功。目前垂直分工模式成为了行业的发展趋势,有更多的IDM公司如AMD、NXP、TI等都将走向Fabless或Fablite模式。若出现排版错位,可加微信535600147,获取PDF版本 图表77:半导体IDM模式与垂直分工模式来源:公开资料,中泰证券研究所整理n芯片代工长期看市场规模庞大,增速快于半导体。2009-2016年芯片代工行业市场CAGR为14%,同期半导体行业的CAGR为6%,芯片代工市场增速是半导体市场的两倍以上,预计未来三年代工行业的复合增长率可达5%-10%,长期来看市场规模庞大。图表78:2009-2017年晶圆代工市场规模及增速(亿美元)若出现排版错位,可加微信535600147,获取PDF版本6005004003002001000晶圆代工市场规模(亿美元)增速20092010201120122013201420152016201745%40%35%30%25%20%15%10%5%0%若出现排版错位,可加微信535600147,获取PDF版本来源:SEMI,中泰证券研究所整理n晶圆代工行业呈现越来越高的资金和技术壁垒,宽广的“护城河”使得行业十多年来没有新的竞争玩家出现。如今晶圆厂一条28nm的4万/月的生产线需要40-50亿美金,研发新一代制程节点可能需要数十亿美金,如此庞大的资金投入使得中小行业玩家望而却步。而随着“摩尔定律”放缓,从14纳米到10纳米再到7纳米,每一个制程节点都举步维艰,拥有高端制程能力的公司屈指可数,而对于不同节点的产品研发也需要海量的资金投入。图表79:节点制程研发费用(百万美元)若出现排版错位,可加微信535600147,获取PDF版本 引领者研发费用跟随者研发费用40003500300025002000150010005000来源:SEMI,中泰证券研究所整理n半导体迎来新增长点,“四驾马车”驱动未来代工行业!过去十年智能手机作为半导体的最主要驱动力是半导体行业迅速繁荣的重要因素,而未来半导体代工新的增长点要靠“四驾马车”——手机微创新、物联网、汽车电子、高性能计算机的强力拉动。n手机微创新作为提升单位手机半导体价值的元素,在未来智能手机的发展中的作用不可替代。2016年,智能手机销量增速为2.3%,通信类代工增量来源单手机半导体值提升,主要是各种微创新:以iphone为例,iphone7+相比于iphone6+:AP+BB只增加了2.7美金,但无线连接、摄像头、传感器和其他控制芯片等相关增加了28.2美金。其他控制芯片包括:电源管理、3Dtouch控制、显示控制、音频处理器等都是未来手机集成的必要元素。图表80:iPhne手机微创新价值(美元)来源:UBS,中泰证券研究所整理n物联网市场容量可观,持续发展有望提升对代工市场的价值。物联网主要分为感知层、网络层和应用层,对应的芯片需求分别是传感器、通信芯片(蓝牙、wifi或者NB-Iot芯片)、MCU或者低端AP。根据UBS大的估算,物联网对代工市场的贡献将从21亿美金,变为60亿美金,收入占比将从4%提升到9%。物联网应用较为分散,单个物联设备对计算能力要求不高,且对成本较为敏感,即使是控制AP或者MCU也对加工工艺要求较低,对国内设计厂商和中芯国际较为有利若出现排版错位,可加微信535600147,获取PDF版本 图表81:物联网对代工部分的营收贡献(百万美元)来源:UBS,中泰证券研究所整理n汽车电子智能化趋势浪潮有望带动半导体代工的又一次崭新脱变。联网化和智能化是汽车的大趋势,根据Gartner统计,单车芯片价值从2000年的250美金增加到2015年的350美金左右,CAGR为2.2%。2016-2020年,假定全球汽车销量的增速为3%,单车半导体价值提升3%,汽车半导体市场有望从325亿美金上升到401亿美金,为半导体代工带来长期利好。汽车半导体芯片对加工线宽要求不高,但对温度单位、稳定性和寿命要求较高,代工门槛比相同工艺的消费电子要高。功率IC,微控制器,传感器芯片三者占据汽车半导体芯片的73%,汽车电子下游需求的扩增将为半导体代工带来持续发展动能。图表82:汽车电子半导体供应商份额来源:Gartner,中泰证券研究所整理n高性能计算进入门槛极高,最先进的制程工艺有望在此领域分一杯羹。高性能计算芯片主要应用于数据中心,服务器,大型网络节点,游戏主板等场合,主要芯片种类是GPU、CPU、FPGA等芯片。这些芯片对于运算能力要求极高,基本上都采用最先进的加工工艺,是台积电、三星和intel等顶尖厂商竞相争夺的领域。台积电预测高性能计算代工市场规若出现排版错位,可加微信535600147,获取PDF版本 模在100亿美金左右,到2020年预计能到150-160亿美金,如此大规模的市场为世界上拥有顶尖制程技术的厂商迎来重大利好。n手机微创新、物联网、汽车电子等成为未来芯片代工的主要驱动因素,下游需求传导有望成为未来提升公司业绩的主要推手。除了指纹识别、双摄像头、人脸识别、电源管理等依然有空间外,AR/VR结合、红膜识别等未来依然可能逐步深入手机应用。与之相对应的电源管理芯片、3Dtouch控制、显示控制、音频处理器等均以成熟工艺为主,有望成为公司主要增长来源。物联网量大,但单芯片价值较低,单个物联设备对计算能力要求不高,且对成本较为敏感,即使是控制AP或者MCU也对加工工艺要求较低,对公司已经成熟化的制程和差异化平台较为有利。汽车电子部分,随着汽车智能化、联网化,单车半导体芯片有望从目前300美元左右上升至400-500美元,新能源汽车的半导体值将达到800美元。汽车半导体追求稳定性,一般均采用较为成熟的加工工艺进行芯片加工;前几大汽车芯片车商的加工厂多数集中在8寸的成熟工艺。根据Gartner2017年报告预测汽车半导体市场从16年的325亿美元增长到2020年的410亿美元,新增需求以代工为主,芯片代工市场规模为从40亿美金可以增长到62亿美金。汽车电子成熟的工艺加巨大的规模提供了广阔的市场前景,公司作为国内成熟的半导体制造差异化平台有望从中受益。图表83:智能手机微创新图示图表84:物联网下游应用来源:公开资料,中泰证券研究所来源:Gartner,中泰证券研究所中芯国际:大陆先进工艺代工龙头,研发进展突破超预期n中芯国际是国内代工厂的龙头,大陆半导体代工领域追赶的头号种子选手,向全球客户提供0.35微米到28纳米晶圆代工与技术服务,包括逻辑芯片,混合信号/射频收发芯片,耐高压芯片,系统芯片,闪存芯片,EEPROM芯片,图像传感器芯片及LCoS微型显示器芯片,电源管理,微型机电系统等。今年以来公司整体运营情况优于预期,产能利用率持续改善,14nm研发进展超预期。若出现排版错位,可加微信535600147,获取PDF版本 图表85:2017年各晶圆代工厂排名(百万美元)排名企业2017营收2017年市占率国家/地区1台积电3280457.80%中国台湾2格罗方德54079.40%美国3联电48988.50%中国台湾4三星43987.70%韩国5中芯国际30995.40%中国大陆6高塔半导体13882.40%以色列7力晶10351.80%中国台湾8世界先进8171.40%中国台湾9华虹半导体8071.40%中国大陆10东部高科6761.20%韩国来源:中泰证券研究所n2018年Q1公司实现营收8.3亿美元,同比增长4.8%,环比增长5.6%;若剔除技术授权收入,销售收入为7.87亿美元,环比下滑8.1%,主要由于Q1产品结构有所变化,且淡季晶圆付运量减少所致。n2017年中芯国际,毛利220.18亿美元,同比下滑0.29%,环比增长48.27%;毛利率为26.49%,同比下滑1.35pct,环比增长7.63pct,不含授权收入毛利率为15.6%,下滑主要由于产品结构改变导致ASP下滑;归母净利润2938万美元,同比增长26.49%,环比增长3.53%。图表86:中芯国际营收趋势(百万美元)图表87:中芯国际净利润趋势(百万美元)来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n成熟制程做奠基石,打造差异化应用平台!中芯国际虽然在14/16nm及以下工艺距离台积电差距遥远,但40nm以上的成熟制程差距并不大,以指纹识别为例,行业龙头FPC是中芯国际代工,8寸的手机电源管理芯片的是高通和海思等行业领先客户。利用成熟制程的优势打造差异化平台成为公司强有力的盈利策略,去年公司引进CIS和NORFlash等fillerproduct并实现营收的大幅增长,同时专注于电源管理、SLCNAND、汽车电子和物联网等应用平台的差异化实现。图表88:各晶圆代工厂竞争策略若出现排版错位,可加微信535600147,获取PDF版本 市场规摸(亿美元)增长率7008.0%6007.0%6.0%5005.0%4004.0%3003.0%2002.0%1001.0%00.0%20162017201820192020来源:Gartner,中泰证券研究所整理n从制程占比上来看,18Q1公司28nm产品占比有所下滑,主要是公司对HKC相关技术工艺做了重大改进,目前调整期,我们预计使用新技术的HKC+将于2018年底量产。目前公司成熟制程业务占比常年保持在50%以上,是公司业务营收的主力军。公司的成熟制程包含90nm,0.13/0.11微米、0.15/0.18微米、0.25微米、0.35微米。Ø90纳米:公司的300毫米晶圆厂已有多个90纳米工艺的产品进入大规模的生产,90纳米技术可以满足多种应用产品如无线电话,数字电视,机顶盒,移动电视,个人多媒体产品,无线网络接入及个人计算机应用芯片等对低能耗,卓越性能及高集成度的要求。Ø0.13微米/0.11微米:相比于0.15微米器件的制程技术,0.13微米工艺能使芯片面积缩小25%以上,性能提高约30%。与0.18微米制程技术比较,芯片面积更可缩小超过50%,而其性能也提高超过50%。多用于单阀值电压MOSFET、高阻多晶硅、2种MiM电容器、只读存储器、静态随机存取存储器、厚金属工艺。Ø0.15微米/0.18微米:0.15微米工艺技术包括逻辑、混合信号/RF,高压,BCD,EEPROM和OTP,SRAM模块。0.18微米工艺技术包括逻辑、混合信号/射频、高压、BCD、电可擦除只读存储器以及一次可编程技术等。Ø0.25微米:0.25微米技术能实现芯片的高性能和低功率,适用于高端图形处理器、微处理器、通讯及计算机数据处理芯片。公司同时提供0.25微米逻辑电路和3.3V和5V应用的混合信号/CMOS射频电路。Ø0.35微米:可应用于智能卡、消费性产品以及其它多个领域。0.35微米制程技术包括逻辑电路,混合信号/CMOS射频电路、高压电路、BCD、EEPROM和OTP芯片。图表89:中芯国际制程分布图表90:中芯国际各制程收入(百万美元)若出现排版错位,可加微信535600147,获取PDF版本 来源:SMIC,中泰证券研究所来源:SMIC,中泰证券研究所nNORFlash、CIS等产品填充产能顺利!产能利用率持续改善!我们认为以兆易NORFlash、豪威CIS产品为代表的产品爬坡持续改善公司产能情况,产能利用率增长至88.35%,相较上季度增加2.5pct。同时由于深圳8寸厂扩充产能,2018Q1产能相较上季度深圳8寸厂增加5千片/月,至447,750片/月。图表91:中芯国际单季度付运晶圆及产能利用率图表92:中芯国际产能分布来源:SMIC,中泰证券研究所来源:SMIC,中泰证券研究所n国家战略带来强有力政策扶持,公司作为集成电路制造龙头企业持续受益!半导体制造技术壁垒和资金壁垒都高,凭借自身的发展,实现弯道超车难度很高,而且芯片代工是国内半导体最薄弱环节,,代工成为国家扶持的重点方向是必然趋势。半导体制造方面的目标是2020年14/16nm制造工艺实现规模量产,我们认为大概率依靠中芯国际为载体实现。截止2017年11月,大基金承诺投资在芯片制造业的资金为65%、设计业17%、封测业10%、装备材料业8%。在先进制程方面,中国国家集成电路产业基金和上海集成电路产业基金入股中芯南方,为公司攻克14nm制程提供了102.4亿美元资金支持。国家战略带来对于半导体制造产业的支持力度巨大,公司作为半导体制造业最为核心的公司有望乘风突破!华虹半导体:最直接受益8寸高景气,运营超预期若出现排版错位,可加微信535600147,获取PDF版本 n华虹半导体受益8寸高景气,营收贴指引上限、毛利率超预期!公司单季度营业收入2.10亿美元,同比增长14.65%,环比下滑3.15%主要受季节性因素以及两间工厂年度维护的影响;0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%19971998199920002001图表93:华虹季度营收(百万美元)图表94:华虹季度净利润(百万美元)来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n毛利率超Q4指引2.1个百分点达到32.1%,同比增长2.36pct,环比下滑1.63pct,环比略有下滑主要由于折旧成本增加,同比增长主要受益于晶圆销量、ASP、产能利用率增长;归母净利润为4010万美元,同比增长17.7%,环比下滑3.38%。n我们一直强调全球8寸产能受设备停产及短期晶圆短缺影响,代工厂议价能力提升!从报表来看,涨价持续传导,公司晶圆ASP突破450美元。本季度晶圆付运量为454000片,同比增长5.1%,环比减少9.4%,产能利用率增至97.3%,同比增长1.1pct,环比增长0.5pct;公司晶圆ASP突破450美元,同比增长9.46%。0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%19971998199920002001图表95:华虹单季度付运晶圆及产能利用率图表96:华虹ASP来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n公司eNVM、分立器件产品收入维持同比增长。公司本季度eNVM业务若出现排版错位,可加微信535600147,获取PDF版本 收入8371.6万美元,占比39.7%,同比增长20.92%,环比略有下滑,主要由于智能卡芯片需求减少,但部分被MCU产品需求增加抵消。独立非易失性存储器收入538.5万美元,占比2.6%,同比增长18.43%;分立器件收入6619.9万美元,占比31.5%,同比增长36.94%;另外,模拟与电源管理、逻辑与射频、以及其他业务分别占收入比重为16.9%、9.2%、0.1%。0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%19971998199920002001图表97:华虹各平台收入占比图表98:华虹各平台收入同比增速来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n第四次硅含量提升周期核心应用——工控&汽车驱动,相关应用同比大幅增长!公司收入下游应用市场分布情况来看,工业及汽车收入3670.9万美元,占比17.5%,同比大涨59%,环比增长31%,受益于MCU及IGBT产品需求增加;电子消费品收入1.43亿美元,占比67.9%,同比增长10.9%,环比下滑5.7%,主要由于消费级MCU和模拟产品需求减少所致;本季度通讯及计算机产品分别占比10%及4.6%。图表99:华虹下游市场分布情况来源:公司财报,中泰证券研究所整理n公司的成长源于下游应用市场的快速增长。智能IC卡方面,下游主要若出现排版错位,可加微信535600147,获取PDF版本 应用是银行卡、身份证和SIM卡,其中2017年银行卡市场占到30%,2018年将抢占NXP和英飞凌的市场份额,有望增长至60%,身份证和SIM卡也有着庞大的市场规模,未来有望进行市场份额的提升。MCU方面,下游应用市场主要是华为、oppo、vivo等客户的触摸技术,智能电表、智能家庭、甚至遥控器的需求也使得MCU具有提升的动能。此外,功率半导体占据了公司27.6%的营收比例,这主要得益于下游应用需求的持续高涨,其中超级结在60-600V汽车充电桩上的应用,IGBT在开关电源、火车、风能、新能源汽车、绿能电网等方面的应用使公司在功率半导体的上升势能突出。电源IC市场方面,市场规模一直在稳定攀升,公司技术涵盖1微米到0.13微米,电压范围可覆盖1.8V到700V,产品广泛应用于智能电表、LED驱动电源、PCPMU、快速充电等各个方面。0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%19971998199920002001图表100:智能卡发展历程图表101:IGBT&loT解决方案来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n物联网、大数据、云计算、自动驾驶等新兴应用带来不断增长的市场需求,公司深耕半导体功率器件、智能卡和电源IC业务,为客户提供高性能解决方案。作为最大的全球智能卡芯片制造商,公司嵌入式平台银行卡已经为客户提供具备多项国际认证的解决方案;对于射频器件,公司现在可提供RFSOI,RFCMOS等技术,第四代射频工艺已从0.2微米提升到了0.13微米;在功率半导体方面,公司已量产600-1200V截止场型IGBT,并加速研发超高压型IGBT,以期用于高端工业和能源方面,另外公司功率MOSFET累计出货量突破500万片,超级结累计超过20万片晶圆,助力公司在功率半导体器件中的稳步提升;MCU方面,公司0.11微米超低漏电(Ultra-Low-Leakage,ULL)嵌入式闪存(eFlash)工艺平台用于物联网,为客户提供了超低功耗方案优势。图表102:华虹产品、客户结构若出现排版错位,可加微信535600147,获取PDF版本 产品客户产品Foundry合作商IDM合作商华虹制造厂MCU敦泰电子触控台积电、联电、中芯国际瑞萨半导体、、恩智浦、英飞凌、德州仪器、三星三厂,0.18um-90nm赛普拉斯触控、嵌入式非易失性存储eNVM微芯科技SuperFlashforeNVM上海贝岭智能仪表智能卡华大半导体智能IC卡中芯国际、和舰科技恩智浦、英飞凌、三星、意法半导体紫光国芯智能IC卡上海复旦SecurityID、智能仪表、NVM、逻辑国民技术SecurityID、USB-Key金雅拓SIM卡分立器件AlphaOmega分立器件联电、世界先进、巨晶电子英飞凌、恩智浦、安森美、三菱二厂,0.25um及以上日本电气分立器件大中积体电路分立器件斯达半导体分立器件IXYS分立器件电源管理芯片安森美分立器件、集成电路管理电源世界先进、联电、台积电、中芯国际德州仪器、亚德诺、美信一厂,0.25um-0.13um及以上Diodes分立器件、模拟BrightPowerLED驱动电源、集成电源管理电路华虹挚芯LED驱动电源、集成电源管理电路、MCU芯源系统高压BCD逻辑和射频思佳讯电源开关高塔半导体、格罗方德、台积电、联电、中芯国际思佳讯、安华高、英飞凌、亚德诺半导体三厂,0.18um-90nm及以上高通蓝牙、无线来源:公司财报,中泰证券研究所整理从台积电发展看代工业的机遇与风险n台湾积体电路制造股份有限公司(TSMC),简称台积电,主要从事研究,开发,制造和集成电路(IC)的相关产品经销,总部位于台湾新竹科技园区,是全球最大的集成电路制造服务企业,凭借庞大的产能规模、核心技术研发实力以及远高于全球平均的年成长率,在2017年市场占有率达到了57.8%,稳居半导体晶圆代工龙头。图表103:全球主要晶圆代工厂排名及市场份额(百万美元)排名企业2017营收(百万美元)2017年市占率国家/地区1台积电3280457.80%中国台湾2格罗方德54079.40%美国3联电48988.50%中国台湾4三星43987.70%韩国5中芯国际30995.40%中国大陆6高塔半导体13882.40%以色列7力晶10351.80%中国台湾8世界先进8171.40%中国台湾9华虹半导体8071.40%中国大陆10东部高科6761.20%韩国若出现排版错位,可加微信535600147,获取PDF版本 来源:拓撲产业研究院,中泰证券研究所整理n月收破千亿大关,业绩稳居龙头宝座。根据台积电发布的2018年第一季度财报披露,Q1营收为2480.79亿元新台币(约合人民币534.77亿元),同比增长6.1%,本季度毛利率为50.3%,营业利润率为39%,净利润率为36.2%。同时2018年Q1单季晶圆出货量为268万片(折合12寸),较2017年Q4的269.9万片持平。2018年3月,台积电营收为1036.97亿元新台币(约合人民币223.53亿元),首度突破千亿元大关,环比增长60.4%,同比增长20.8%。图表104:单季度营业收入及同比、环比增速(单位:亿元新台币)图表105:单季度销售毛利率和净利率走势来源:wind,中泰证券研究所来源:wind,中泰证券研究所n知难行易,四个关键研发历史阶段奠基行业地位。在1980年前后提出的“晶圆代工”模式成就了英特尔、德州仪器等一系列IDM大厂,而张忠谋创造了以“不与客户竞争”这一铁律为基本原则的“纯晶圆代工”模式成为了台积电呈燎原之势的星星火苗,自此台积电不断发展先进制程技术,经历了四个关键历史阶段,不断超越并引领行业的潮流。图表106:1998-2018Q1台积电各制程占比0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm16/20nm10nm100%90%80%70%60%50%40%30%20%10%0%若出现排版错位,可加微信535600147,获取PDF版本 来源:TSMC,中泰证券研究所整理n第一个历史阶段,抓住12寸节点,迅速扩产步步紧跟。20世纪90年代,台积电在技术研发上还远远落后于英特尔、TI等欧美大厂,经过不断的资金研发投入,1999-2000年之间从生产技术看,台积电的0.25微米工艺已进入量产及出货阶段,在时间上大约落后世界一流公司一到两个季度。但对0.18微米生产工艺,台积电采取了同步研发及试产方式,已经可以比肩世界一流大厂的进度。2000年公司陆续在0.18和0.15纳米实现技术性突破。此时,全球晶圆大厂正处于8寸厂进入12寸厂的历史转折期,许多IDM厂商在面对建厂高昂的投资成本时裹足不前,台积电在张忠谋的带领下,收购世大半导体公司和德基半导体完成重大的战略并购,并扩建晶圆厂,持续扩充晶圆产能,光是2000年一年的时间台积电的晶圆产量增速就突破了80%,营业收入提升了128%。随后台积电又投产了新竹科学园的第十二厂和台南市的第十四厂两座超大晶圆厂,产能完成了大幅度提升。通过12寸节点机遇期的大力扩张,台积电完成了大的突破,可比肩全球产业巨头。图表107:1996-2001年台积电制程营收占比图表108:1997-2001年台积电营业收入及增长率(百万新台币)若出现排版错位,可加微信535600147,获取PDF版本250002000015000营业收入增长率128%20,87915,221140%120%100%80%60%若出现排版错位,可加微信535600147,获取PDF版本10000500006,1575,5376,67721%-10%37%40%20%0%-20%若出现排版错位,可加微信535600147,获取PDF版本19971998199920002001来源:台积电官网,中泰证券研究所来源:wind,中泰证券研究所n第二个历史阶段,两大“天王山之战”,台积电站上世界先进技术舞台的中央。台积电从2000年第四季度开始按0.13微米的工艺技术生产并率先推出了0.13微米的混合信号产品。自主研发0.13微米制程技术的成功,使台积电赢得了对于联电的第一次“天王山之战”。2002年台积电推出0.1μm芯片制造工艺,并在2004年正式进入纳米时代,90纳米工艺生产的产品出货给如美商Altera及高通等多家客户,到2005年平均单月90纳米12英寸晶圆出货量可达千片规模。从0.13微米,90纳米到65纳米节点,台积电始终在跟国际大厂互相角力。随着制程在65纳米节点遇到瓶颈,众多大半导体厂都将赌注压在157纳米波长光刻技术的研发成功,只有台积电提出以水为介质的浸润式“湿法”取代“干式”曝光技术,并联合ASML采用此技术突破了65纳米工艺,这使得台积电赢得了第二次“天王山之战”。从此以后台积电成功站上了世界先进技术舞台的中央,并一直引领着产业技术的潮流。若出现排版错位,可加微信535600147,获取PDF版本 图表109:浸润式光刻原理图来源:TSMC,中泰证券研究所整理n第三个阶段,不断朝“摩尔定律”演进,从28纳米开始技术超车。2007年4月台积电55纳米工艺提前量产。55纳米半世代工艺技术由65纳米工艺技术直接微缩(linearshrink)90%,单颗晶粒成本显著降低,在相同工作速度下节省耗电量约10%~20%。2007年6月台积电推出为45纳米工艺所量身订做的设计流程8.0版本,45纳米制程结合了最先进的193纳米波长浸液式光刻技术及各种先进材料,比65纳米低功耗制程的芯片尺寸缩小40%、功效提升40%。随着各晶圆厂不断抢占新一代制程能力,市场呈现一种互相角力的胶着状态。2008年4月在AMD、IBM和英特尔已经采用45nm工艺的情况下,台积电宣布放弃45nm工艺,直接转向采用更加先进的40nm工艺,希望借此实现技术突破。直至2009年下半年40nm工艺生产线的良品率稳步提高,收入贡献比例也从2009年上半年的1%提高到了三季度的4%。2010年台积电跳过既定的32纳米制程,直接宣布推出28纳米并一举量产成功。2011年28nm制程开始量产,2013年28纳米晶圆的出货量及销货收入,带来近乎3倍成长,创下超过80%市占率。同时,台积电针对智能手机的主流制程连续推出了4个28纳米改良版本,创造了其历史上最赚钱的技术节点,这也使得生产量率一直无法提升的三星和格罗方德等厂疲于追赶。图表110:2007-2013年台积电制程营收占比图表111:台积电28纳米超大晶圆15厂若出现排版错位,可加微信535600147,获取PDF版本 营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%199719981999200020010.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013来源:台积电官网,中泰证券研究所来源:wind,中泰证券研究所n第四个阶段,把握智能手机爆发机遇,领跑先进制程航道。随着智能手机代替PC的浪潮推动,迅速成长的台积电很好地把握了智能手机这片蓝海,移动通讯逐渐侵蚀PC在台积电营收业务中的份额。图表112:1999--2018Q1台积电业务营收占比MemoryComputerCommunicationConsumerIndustrial/Others100%90%80%70%60%50%40%30%20%10%0%来源:TSMC,中泰证券研究所整理n在智能手机业务的强烈需求下,台积电专注于产能的扩建和先进制程的研发。2015年8月台积电宣布,期待已久的16nm工艺正式开始量产,并且终于缩小了与三星、英特尔在半导体工艺上的差距。16nm工艺上的性能比28nm高出多达90%。届时三星已压注并提前量产14纳米制程,面对三星的咄咄紧逼,台积电祭出16纳米三代升级产品,从三星虎口夺食了iPhone7处理器的订单。2017年5月,台积电宣布已经彻底解决了10nm良率问题,并已经进入10nm芯片量产全开模式。在10nm制程下核心面积比16nmFinFETPlus缩小了50%,性能提升了50%,能耗减少了40%。图表113:台积电台积电先进制程产业布局若出现排版错位,可加微信535600147,获取PDF版本 来源:TSMC,中泰证券研究所整理n在凭借超越同行的技术巩固自己行业地位的同时,台积电布局更积极地布局下一代制程,从而继续领跑先进制程航道。2017年12月,7纳米制程已开始在新竹12厂开始量产,而且已经有超过40个客户,未来还会在12和15厂的第5和第6期当中生产。其中,15厂目前正在赶工兴建第7期,未来也将会投入7纳米制程的生产。台积电于2018年1月在台湾南部科学工业园区(STSP)开工建设新的5nm工厂,预计2020年初量产。同时,台积电已经针对3nm投入了几百名工程师和大量相关研发资源,并将于2020年启动3nm工厂。预计台积电3nm工厂将吸引各路投资大约7500亿台币(约合人民币1640亿元),其中台积电自己将投资5000-6000亿台币(约合人民币1100-1300亿元)。图表114:台积电各制程更新来源:台积电法说会,中泰证券研究所8寸产能景气大周期,通用型产品是直接驱动若出现排版错位,可加微信535600147,获取PDF版本 硅片短缺+设备停产双重因素驱动,8寸代工持续满载n我们近期持续重点强调8寸代工投资机会,我们认为在硅片短缺叠加设备停产双重因素驱动下,8寸代工产能未来数年有望持续满载,代工厂议价能力提升。Ø8寸硅片短缺+设备停产双重因素驱动下,8寸代工厂将继续维持产能满载,相关厂商议价能力持续提升,直至部分产品迁移至12寸方能有所缓解,目前来看已宣布扩产12寸IDM及foundry产能要到2020年才能逐步释放(英飞凌、华虹等);Ø目前更重要的钳制因素是设备,核心设备基本停产,存量市场,二手设备价格昂贵;Ø通用型产品——以MOSFET、IGBT功率半导体为主要驱动,以及MCU、PMIC、利基型存储在物联网、汽车电子、工控等应用带动下需求持续提升,从而继续带动8寸景气上扬,部分产品交货周期继续拉长、缺货加剧;n从日本经济产业省月度高频统计数据来看,目前8寸硅片月度销量大幅高于产量、库存水平从16年底开始加速下降至历史低位。图表115:日本8寸硅片月度产销(千平方英尺)图表116:日本8寸硅片月度库存(千平方英尺)来源:日本产业经济省,中泰证券研究所来源:日本产业经济省,中泰证券研究所n如我们对设备及硅晶圆的分析,双重钳制下全球8寸晶圆厂产能近3年增长十分缓慢,产能利用率维持高位。全球8寸硅片产能在500万片/月左右,从产能利用率来看,2014-17年产能利用率持续攀升超过85%,并预期还会持续上升。图表117:8寸晶圆厂产能情况(kwpm)图表118:8寸晶圆厂产能利用率情况若出现排版错位,可加微信535600147,获取PDF版本 来源:WSTS,中泰证券研究所来源:WSTS,中泰证券研究所功率半导体:新兴应用驱动需求大增,国产化替代可期n功率半导体又称电力电子器件,主要用于处理电能的主电路中,实现电能的变换和控制的电子器件。近年来随着新能源兴起,功率半导体器件需求大幅提升。功率半导体器件不仅应用于电力系统及新能源汽车,而且也广泛应用于一般工业、交通运输、通信系统、计算机系统、新能源系统,还应用于照明、家用电器、个人电脑、消费电子等领域。图表119:功率半导体的应用领域应用环节说明电力系统送电端的整流阀与受电端的整流阀适合长距离、大容量直流输电一般工业工业电动机的控制中、交流调速、直流调速以及节能和软起动使得交流电机的调速性能媲美直流电动机交通运输电力机车的控制、电动汽车的充电提供变频和斩波电源开关电源、通信电源等变流技术新能源利用太阳能发电及风力发电装置实现电能的改善家用电器正常照明、白色家电、电源适配器交流电转换成使用电源来源:中泰证券研究所整理n功率半导体中二极管、MOSFET、IGBT三分天下。据Yole统计2016年全球功率半导体市场132亿美元,其中功率半导体产品的市场主要分为三块MOSFET、二极管和整流桥、IGBT,这三类产品占据了功率半导体市场的90%的份额。图表120:全球功率半导体市场(亿美元)图表121:2016年功率半导体市场分类若出现排版错位,可加微信535600147,获取PDF版本 电力电子市场(亿美元)增速(%)MOSFET二极管和整流桥IGBT其他若出现排版错位,可加微信535600147,获取PDF版本20018016014012010080604020020112012201320142015201620172018201920200.20.150.10.050-0.05-0.1-0.15-0.2若出现排版错位,可加微信535600147,获取PDF版本来源:Yole,中泰证券研究所来源:强茂年报,中泰证券研究所MOSFET:结构性缺货严重,引领特色工艺景气nMOSFET(金属氧化物半导体场效应晶体管)是一种广泛应用于模拟电路和数字电路的场效应晶体管。2016年全球MOSFET市场为60亿美元,根据Yole的预测到了2022年全球MOSFET的市场将达到75亿美元,CAGR为3.4%。图表122:2016年全球MOSFET市场(亿美元)图表123:全球生产MOSFET厂商份额26.40%36.20%13.40%7.30%7.50%9.20%全球MOSFET市场(亿美元)增速若出现排版错位,可加微信535600147,获取PDF版本8070605040302010020162017201820192020202120220.060.050.040.030.020.010InfineonOnsemiRenesasToshibaSTM其他若出现排版错位,可加微信535600147,获取PDF版本来源:Yole,中泰证券研究所来源:英飞凌年报,中泰证券研究所n低压MOSFET缺货严重。需求端:LED照明市场扩大,带来MOSFET需求旺盛。LED照明需要功率MOSFET驱动电源,根据LEDinside数据显示,LED照明2019年市场规模来到最高点达到332.99亿,庞大的市场将会带来对MOSFET的需求增加。同时智能手机的快充普及带来六级能效/同步整流的MOSFET需求增加。供给端:指纹识别IC和双摄挤压8寸线产能,指纹识别IC和双摄像头CIS生产是用8寸晶圆厂进行生产的,这将会挤压8寸厂生产MOSFET的产能。新能源汽车带来对高压MOSFET的需求,挤压了大厂的低压MOSFET的产能。许多晶圆厂8寸转到12寸,供给雪上加霜。若出现排版错位,可加微信535600147,获取PDF版本 n高压MOSFET目前仍是汽车充电的核心。IGBT和MOSFET同为充电桩必不可少的功率开关器件,被称之为新能源汽车充电桩的“心脏”。随着动力电池技术的不断突破以及新能源汽车续航里程的不断提高,大功率IGBT快充技术才是未来十年的发展趋势,而目前大部分充电桩企业纷纷选择使用另一充电模块MOSFET模块。现阶段,基于充电桩功率、工作频率、电压、电流、性价比等综合因素考量下,大多数充电桩企业主要使用MOSFET作为开关电源模块的核心器件。目前IGBT模块主要用于1000V以上、350A以上的大功率直流快充。大功率快速充电还需要解决很多问题和挑战,短期难以实现。图表124:全球MOSFET市场占比(分应用)2016年全球MOSFET市场分应用占比2022年全球MOSFET市场分应用占比来源:Yole,中泰证券研究所整理IGBT:新能源核芯所在nIGBT即绝缘栅双极型晶体管,它是由MOSFET和PNP晶体管复合而成的一种器件,既有MOSFET器件驱动功率小和开关速度快的特点,又有双极型器件饱和压降低而容量大的特点(功率级较为耐用);频率特性介于MOSFET与功率晶体管之间,可正常工作于几十kHz频率范围内。图表125:IGBT的应用场景来源:wind,中泰证券研究所整理若出现排版错位,可加微信535600147,获取PDF版本 nIGBT未来增量主要在新能源汽车。根据Yole的预测,到了2022年全球IGBT市场将达到50亿美元,其主要得益于巨大的汽车市场,尤其是在电动汽车(EV)和混合动力汽车(EHV)电力传动部分应用。新能源汽车是一个潜力非常大的市场,2016年IGBT运用于新能源汽车市场为8.45亿美元,预计到了2022年将到达20亿美元,占总的市场份额超过40%。图表126:全球IGBT市场占比(分应用)来源:Yole,中泰证券研究所整理nIGBT是新能源汽车的核心,未来市场有望达到87亿元。功率半导体在汽车领域运用十分广泛,具体主要应用于动力系统(powertrain)、高级辅助驾驶系统(advanceddriverassistancesystem;ADAS)、底盘与安全系统(chassisandsafety)、车身与便利系统(bodyandconvenience)、以及车用资讯娱乐系统(Infotainment)。而对于这五大系统来说,提升空间最大便是动力系统,而动力系统最核心的便是IGBT模组,其质量决定了整车的能源利用效率。从成本角度看,IGBT模组约占电机驱动系统成本的50%,而电机驱动系统成本又占整车成本的15-20%,由此可以推算IGBT模组占整车成本的7-10%。我们按照汽车本20万估算,预计到了2020年中国新能源汽车使用的IGBT模组的市场将达到87亿元。图表127:中国新能源汽车IGBT市场预测(亿元)图表128:全球生产IGBT厂商份额若出现排版错位,可加微信535600147,获取PDF版本 30.5%26.6%6.6%17.0%7.1%12.2%IGBT市场(亿元)增速(%)若出现排版错位,可加微信535600147,获取PDF版本100908070605040302010020152016201720182019202070.0%60.0%50.0%40.0%30.0%20.0%10.0%0.0%InfineonMitsubishiFujiElecticOnsemiSemikron其他若出现排版错位,可加微信535600147,获取PDF版本来源:Yole,中泰证券研究所来源:英飞凌年报,中泰证券研究所nIGBT产业链均为国外垄断,行业整合现象愈加明显。IGBT是一个技术成熟的器件,产业链的各个环节都相对稳定。大多数IGBT厂商为欧美日企业,如德国的Infineon、瑞士ABB、美国OnSemiconductor、NXP、日本的Mitsubishi、FujiElectic,均已在此领域涉足数十年,它们技术成熟,产品涵盖齐全,形成了完善的IGBT产品系列。而国内企业还处在起步阶段,目前还在积极地扩充产线。n近些年,功率半导体行业愈加趋向强强联手,并购整合之风盛行。如安森美于2016年收购了仙童半导体,成为全球第二大IGBT生产商。与此同时2015年NXP收购飞思卡尔,成为了全球汽车电子的龙头。2016年瑞萨电子(Renesas)收购Intersil,进一步强化瑞萨电子的汽车芯片业务,同时也帮助瑞萨电子进入电力管理芯片市场。这些收购整合显示了功率半导体企业在不断追求行业产品的覆盖面,力图打造一个完整的功率半导体覆盖面。图表129全球IGBT产业链来源:Yole,中泰证券研究所整理SiC:新型化合物半导体引领成长新一极若出现排版错位,可加微信535600147,获取PDF版本 n在功率器件的发展中,传统的硅基功率器件已经达到了其材料的极限状态,难以满足当前工业、汽车等领域对于高频、高温、高功率、高能效、耐恶劣环境以及轻便小型化的新需求。以SiC为代表的第三代半导体材料以其优异的性能,向人们走来。相比于Si功率半导体器件,SiC功率器件具有更高耐压、更低导通电阻、可更高速工作,且可在更高温条件下工作等优良的性能。通过将SiC应用到功率器件上,实现以往Si功率器件无法实现的低损耗功率转换。图表130SiC与Si性能比较Si4H-SiC6H-SiC优点禁带宽度/(eV)1.123.32.9最高工作温度/(℃)60015801580禁带宽度越大,器件的工作温度越高击穿电压/(V/cm)3´10522´10525´105SiC的击穿场强高,最高工作电压比同类的Si器件高得多热导率/(W/cmK)1.54.94.9SiC集成电路可以减小甚至不用散热系统,大大提高系统集成度电子迁移率/(cm2/sV)15001000500空穴迁移率/(cm2/sV)600115101最大电子饱和速度/(cm/s)1´1072´1072´107SiC材料有更高的电流密度和更快的开关速度,适合于高频和大功率应用。来源:中泰证券研究所整理n2022年全球SiC市场有望突破10亿美元,新能源汽车增长最快。根据Yole的统计2017年全球SiC市场为2.8亿美元,到了2020年市场预计将达到5.5亿美元,而在2022年预计将接近11亿美元,CAGR为40%。风力发电、PV逆变器、电源供应等领域均稳定增长,但增长最为迅速的还是电动汽车(EV/HEV)以及轨道交通(Rail),CAGR为86%。图表131:全球SiC器件市场预测(亿美元)SiC器件市场(亿美元)增速(%)若出现排版错位,可加微信535600147,获取PDF版本12108642020162017201820192020202120220.50.450.40.350.30.250.20.150.10.050若出现排版错位,可加微信535600147,获取PDF版本来源:Yole,中泰证券研究所整理nSiC主要应用于汽车的电力驱动,优秀的性能减少损耗。电力驱动系统是决定新能源汽车动力性能、可靠性和成本的关键因素。目前,纯电动汽车和混合动力汽车的电力驱动部分主要是由硅基功率器件组成。随着若出现排版错位,可加微信535600147,获取PDF版本 电动汽车的发展,对电力驱动的小型化和轻量化提出了更高的要求然而传统硅基功率器件在许多方面已经逼近甚至达到其材料的极限。因此,各汽车厂商都对新一代碳化硅功率器件寄予了厚望,希望通过应用碳化硅功率器件大幅实现电动汽车逆变器和DC-DC转换器等驱动系统的小型轻量化。n由于碳化硅器件与硅器件相比,有更高的电流密度。在相同功率等级下,碳化硅功率模块的体积显著小于硅基IGBT模块。而碳化硅器件具有大幅提高设备的能源利用效率的特质。碳化硅功率模块与采用硅基IGBT的功率模块相比,可将开关损失降低85%。由于碳化硅器件的能量损耗只有硅器件的一半,发热量也只有硅器件的一半;另外,碳化硅器件还有非常优异高温稳定性,因此,散热处理也更加容易进行,不但散热器可以显著减小,还可以实现逆变器与马达的一体化。图表132:SiC应用于汽车领域的优势燃效提升10%体积减少80%来源:中国电力电子网,中泰证券研究所整理n全球市场三足鼎立,Infineon、Cree和Rohm产品已成体系。英飞凌公司最早在2001年推出SiC肖特基二极管。2008年罗姆也通过收购生产SiC晶圆的德国SiCrystal公司,形成了从晶圆制造、前期工序、后期工序再到功率模块的一条龙生产体系,并率先市场SiC器件的量产。Cree公司向市场提供了900V电压的SiCMOSFET晶体管。这三家公司占据了全球SiC市场的90%,此外意法半导体、丰田也在积极的布局。n国内企业加速研发,力争弯道超车。国内碳化硅功率器件的研发工作始于20世纪末,经过十几年的摸索,已经积累了一定的材料与器件制作经验。但与国外最高水平相比还有很大差距。在产业化方面,国内主要仅有北京泰科天润少数几家公司从事碳化硅材料的相关工作。目前泰科天润研发的碳化硅肖特基二极管产品已成功量产,产品涵盖600V—3300V等中高压范围,其产品成品率达到国际先进水平。若出现排版错位,可加微信535600147,获取PDF版本 图表133SiC产业链上的国内企业来源:Yole,中泰证券研究所整理海外功率龙头标的梳理n英飞凌:功率半导体领头羊,近期给出积极指引n英飞凌前身是西门子集团的半导体部门(SiemensSemiconductor)。英飞凌是一家全球领先的半导体公司,在2016年全球半导体制造商中排名前11。公司多项业务处于全球领导者地位,电源管理全球第1;传感器全球第2,射频全球领先;安全卡IC全球第1。2004年收购ADMTek,进军网卡驱动芯片。2014年收购国际整流器公司(InternationalRectifierCorporation),进一步完善自己功率半导体方面的产品结构。2016年收购了在自动驾驶MEMS和LiDAR领域有着专业地位的Innoluce公司,目标瞄准对自动驾驶和新能源汽车全领域半导体器件的整合。图表134英飞凌P/E估值情况4035302520151050来源:wind,中泰证券研究所整理n英飞凌功率半导体业务增速远高于行业水平。2003年以来,功率半导体若出现排版错位,可加微信535600147,获取PDF版本 复合年增长率为4.2%,英飞凌功率半导体收入复合年增长率为11.0%,增速远高于行业平均水平,市场份额不断增长。功率半导体业务的快速增长带动了集团收入的整体增长,英飞凌1999-2017年复合年增长率达9%,如果纳入并购收入,则为10%。2018Q1法说会上,公司预计,2018、2019年增长将加速,2020年之后增速将回归9%。图表135:功率半导体市场规模与英飞凌相关业务收入(十亿美元)全球功率半导体市场英飞凌功率半导体收入YOY(全球功率半导体市场)YOY(英飞凌功率半导体)若出现排版错位,可加微信535600147,获取PDF版本20181614121086420来源:英飞凌年报,中泰证券研究所60%50%40%30%20%10%0%-10%-20%-30%若出现排版错位,可加微信535600147,获取PDF版本n公司将加强差异性优势,维持功率器件中第1。2016年,英飞凌IGBT标准模组市占率32.5%,排名第1;IGBT分立器件市占率37.1%,排名第1;IPM市占率8.7%,排名第4。若出现排版错位,可加微信535600147,获取PDF版本图表136:2016年IGBT模组市场份额图表137:2016年IGBT分立器件市场份额18.5%1.6%2.1%3.6%3.8%3.9%4.2%4.3%11.7%12.7%15.0%37.1%2.3%32.5%2.5%2.6%2.6%3.8%11.5%4.3%9.0%10.4%来源:Infineon年报,中泰证券研究所来源:Infineon年报,中泰证券研究所InfineonMitsubishiFujiSemikronVincotechHitachiToshibaDanfossBoschABB其他InfineonFujiONSemiSTMicroLittelfuseRensasMitsubishiToshibaRohmIXYS其他若出现排版错位,可加微信535600147,获取PDF版本n功率器件中期增长驱动力来自于工业自动化、协作机器人、可再生能源、家电等广泛的下游应用。其中,家电领域变频应用占比将不断提升,预计2022年,变速电机占比将达到65%,半导体价值将达9.5欧元,传统电机仅为0.70欧元。电动车趋势将驱动充电站基建增长,碳化硅技术有助于减少充电时间,单槽功率半导体成本随着功率提升快速增长。若出现排版错位,可加微信535600147,获取PDF版本 图表138:主要家电电机市场预测(百万欧元)图表139:充电站市场销量预测(千)若出现排版错位,可加微信535600147,获取PDF版本7006005004003002001000uncontrolledmotormoterwithvariablespeeddrive585474475467317244161671000900800700600500400300200100071090<15KW20KW30KW>60KW95085013018040950740110若出现排版错位,可加微信535600147,获取PDF版本2010201520172022e20172020e2023e来源:Infineon年报,中泰证券研究所来源:Infineon年报,中泰证券研究所n全球规模化、策略性布局。英飞凌制造策略没有变化,一方面以领先的技术内部制造前沿产品以达到性能和成本方面的优势,一方面外包非差异性产品,以优化资本效率。同时与代工厂合作,以确保产能的扩张与灵活性。欧洲布局12寸功率半导体高自动化制造,亚洲8寸产能扩张,充分受益于劳动力成本优势;欧美进行差异化技术研发与专利。公司奥地利新的12寸厂,预计6年总前段投入在16亿欧元,19年初动工,20年中设备迁入,21年初投产,主要生产IGBT与MOSFET,预计每年收入大于18亿欧元。图表140:英飞凌全球布局来源:Infineon年报,中泰证券研究所n充分受益于工业与汽车行业高增长,两块业务双增长。根据2017年年报,2017年英飞凌营收78.03亿美元,同比增长9.1%。其中第四季营收20.11亿欧元,较上年同期增长8.7%,环比下降0.6%。全年营收增长主要是由于工业和汽车这两块业务驱动的,汽车领域的增长贡献了全年营收增长的57%,工业领域的增长贡献了全年营收增长的39%。2017年全年工业业务实现营收37.05亿欧元,同比增长7.4%;汽车业务实现营收33.02亿欧元,同比增长12.7%。若出现排版错位,可加微信535600147,获取PDF版本 图表141:英飞凌2017-22年各业务市场规模CAGR(亿美元)6005007.70%7.10%9%8%7%4.20%5%2.7%2.50%4%1%来源:Infineon年报,中泰证券研究所2022市场预计市场规模(亿美元)CAGR0.80%2003%1002%00%n2017年净利润与毛利润有所提升。公司2017年净利润为8.94亿美元,同比增长7%,毛利润为28.95亿美元,同比上涨11.9%。第四季净利润为2.21亿美元,同比下降14%,主要原因是受美元贬值的影响。2017年净利率为11.43%,毛利率为37.09%,相比去年基本持平。图表142:英飞凌营业收入(单位:百万美元)图表143:英飞凌净利润(单位:百万美元)若出现排版错位,可加微信535600147,获取PDF版本9000800070006000500040003000200010000营业收入增长4035302520151050-520112012201320142015201620173500300025002000150010005000净利润毛利润净利率毛利率4540353025201510502011201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所n电源管理、工业电力控制和汽车业务三足鼎立。公司的营收主要来源于功率半导体和电源管理IC的集成,其中功率半导体占到了公司收入的60%。按照业务分类可以划分为工业和其他市场、汽车、安全芯片、以及操作集成业务,其中工业和其他市场可以划分为电源管理和工业电力控制。公司的业务主要是电源管理、工业电力控制和汽车业务三足鼎立,这三项业务相对稳定,汽车领域占比42.3%,相比去年增加1.3%。图表144:英飞凌2016年收入结构图表145:英飞凌2017年收入结构若出现排版错位,可加微信535600147,获取PDF版本 31.7%41.0%16.6%30.4%42.3%17.1%10.8%10.0%若出现排版错位,可加微信535600147,获取PDF版本电源管理工业电力控制汽车安全芯片电源管理工业电力控制汽车安全芯片若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所n汽车业务增长稳定,工业控制增速加快。公司在汽车半导体市场市占率10.8%,排名第2,在电源分立器件及模组市场以18.5%的市占率排名第1。2017年公司电源管理业务实现营收23.73亿美元,同比增长4.2%;工业电力控制业务实现营收13.32亿美元,同比增长11.8%,工业电力控制增长主要是受风力发电和家用电器等需求的上升引起的;汽车业务实现33.02亿美元,同比增长12.1%;安全芯片业务实现营收7.82亿美元,同比增长0.9%。其中功率半导体业务收入占总营收的60%。图表146:英飞凌汽车半导体业务市场(亿美元)英飞凌汽车半导体营收全球汽车半导体市场英飞凌占比(%)10.5%10.4%10.7%10.8%9.6%9.6%8.7%9.0%4003503025020015010500020102011201220132014201520162017来源:Infineon年报,中泰证券研究所图表147:汽车半导体市占率图表148:电源分立器件及模组市占率若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本NXPInfineonRenesasTISTMicroBoschONSemiRohmToshibaADIothersInfineonONSemiSTMicroMitsubishiToshibaVishayFujiRenesasRohmSemikronothers若出现排版错位,可加微信535600147,获取PDF版本12.50%33.30%10.80%10.00%8.00%2.70%2.70%4.80%5.50%18.50%若出现排版错位,可加微信535600147,获取PDF版本2.60%39.30%9.20%5.30%若出现排版错位,可加微信535600147,获取PDF版本7.10%2.60%2.60%4.10%4.20%4.60%4.90%4.70%若出现排版错位,可加微信535600147,获取PDF版本来源:Infineon年报,中泰证券研究所来源:Infineon年报,中泰证券研究所n公司收入结构基本稳定,重点新能源汽车领域。随着新能源汽车和智能驾驶的发展,带动了功率半导体和传感器的需求。公司作为功率半导体的领导企业,将首先受益。公司也在积极地布局,2016年收购了Innoluce公司,扩大自己在MEMS和传感器方面的技术,努力打造全方位的汽车电子元器件的供应商。同时新能源的使用,也会带来对电能的需求增加,功率半导体的未来也将会有强劲的增长。图表149:英飞凌业务营业收入变化趋势(百万美元)图表150:英飞凌主营业务同比增速若出现排版错位,可加微信535600147,获取PDF版本2000180016001400120010008006004002000电源管理工业电力控制汽车安全芯片70%60%50%40%30%20%10%0%-10%-20%电源管理工业电力控制汽车安全芯片若出现排版错位,可加微信535600147,获取PDF版本来源:彭博,中泰证券研究所来源:彭博,中泰证券研究所n电气化过程中,功率半导体最受益。电气化大趋势将加速公司ATV、IPC、PMM三大事业群业务增长,公司认为电气化短期来看将以MHEV/FHEV/PHEV为主,中期以BEV为主。公司产品覆盖了裸片、分立器件、模组,包含硅衬底和碳化硅技术。2018Q1法说会上,公司称目前市场硅衬底占比为99%,2020年硅衬底占比将下滑至97%,直到2025年,硅衬底仍将保有80%的市占率;碳化硅市场中模组将占主流。公司的碳化硅产品进展顺利,车载充电器方面,首个中标的CoolSiC车规级MOSFET将于2019年开始ramp;主逆变器上,首个中标的HybridPACKDriveCoolSiC将于2020年开始ramp;目前有超过20家OEM在认证公司的碳化硅解决方案。若出现排版错位,可加微信535600147,获取PDF版本 图表151:英飞凌Si衬底、SiC衬底份额图表152:SiC市场产品结构(按产值份额)若出现排版错位,可加微信535600147,获取PDF版本120%100%80%60%40%20%0%SiSiC99%97%80%20%1%3%201820202025100%90%80%70%60%50%40%30%20%10%0%SiCdiodeSiCMOSFEThybirdSiCmodulefullSiCmodule5.85%50.27%71.92%52.30%49.73%28.08%28.08%13.77%201820202025若出现排版错位,可加微信535600147,获取PDF版本来源:Infineon年报,中泰证券研究所来源:Infineon年报,中泰证券研究所n客户结构非常平衡。英飞凌目前的客户结构非常平衡,客户数目超过10万,客户数的增长超过了收入的增长,对单一客户的依赖在下滑。2017年总收入中,前10客户占比29%,渠道收入占比40%。图表153:2017年英飞凌客户结构图表154:2017年英飞凌前10客户直接客户前10客户渠道收入40%60%29%来源:Infineon年报,中泰证券研究所来源:Infineon年报,中泰证券研究所n中国业务占比最大,增速有所趋缓。2017年中国地区营收为19.17亿美元,同比增长9.6%,中国市场是英飞凌最大的市场,占据了总营收的近四分之一。除此之外,日本,德国、美国都有高于7%的增速。但相比于2015年的30.4%的增速和2016年14%的增速,今年增速有所下滑。前两年手机、新能源发展迅猛,带动了功率半导体的发展,今年需求有所回落,属于正常。图表155:英飞凌分地域营收情况(百万美元)若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本2500ChinaJapanRestofAsia/PacificGermanyRestofEuropeAmericas若出现排版错位,可加微信535600147,获取PDF版本2000150010005000201220132014201520162017来源:wind,中泰证券研究所整理n安森美:并购仙童补全产线,功率产品占比提升n安森美,前身是摩托罗拉集团的半导体元件部门,于1999年独立上市。经过十多年的发展和大小十四次的收购,安森美半导体实现了传奇式的实力增长,在IHSMarket统计的全球半导体厂商中排名第十八,在功率半导体分立器件领域全球市场份额仅次于英飞凌。其产品包括电源管理、逻辑器件、分立器件、传感器及定制器件,通过收购让其完善了其产业的布局,实现了对汽车、工业及手机的高压、中压及低压电源半导体的全面覆盖。n收购仙童,并表带来业绩上涨。根据2017年第三季季报,安森美前三季度营收41.66亿美元,同比增长57.44%,如此快速地增长是由于2016年9月安森美完成收购仙童(FairchildSemiconductor),两个公司合并带来营收的大幅上涨。第三季营收为13.91亿美元,同比增长46.27%,环比增加3.9%。收购仙童半导体,给安森美的汽车业务、工业医疗、通信、消费电子等业务都有了很大的增长。n2017年前三季公司净利润为2.8亿美元,同比增长294%。毛利润为15.2亿美元,同比增长67%。第三季净利润为1.09亿美元,毛利润为5.24亿美元。第三季毛利率为37.69%,净利润为8.15%。收购之后带来净利润、毛利润、净利率、毛利率的全方位增长。图表156:安森美营业收入(单位:百万美元)图表157:安森美净利润(单位:百万美元)若出现排版错位,可加微信535600147,获取PDF版本450040003500300025002000150010005000营收同比增长%706050403020100-10-2016001400120010008006004002000净利润毛利润利润率%利润率%454035302520151050若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 n功率器件部门占比上升,工业医疗、消费电子增加最快。按照部门来划分,安森美的业务可以分为功率器件部门、模拟电路部门和影像传感部门。而按照应用市场分类可以分为汽车、工业医疗器件、通讯设备、消费电子、计算业务。在收购仙童之后,安森美的各项业务都有了很高的增长,功率器件部门营收占比上升到了51%,比2016年增加了7%。同时工业医疗、消费电子占比也有了很大的提升。图表158:安森美2016年收入结构图表159:安森美2017年第三季收入结构若出现排版错位,可加微信535600147,获取PDF版本18%44%38%功率器件部门模拟电路部门影像传感部门功率器件部门模拟电路部门影像传感部门若出现排版错位,可加微信535600147,获取PDF版本14%51%35%来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所图表160:安森美事业部产品情况事业部分类产品分立器件双极结晶体管(BJT);二极管和整流器;ESD及EMI保护二极管及滤波器;IGBT和FET;可调谐组件;晶闸管电源方案部(PSG)电源管理AC-DC控制器和稳压器;DC-DC控制器、转换器和稳压器;LED驱动器;电源模块;热管理;电压和电流管理光电器件IGBT/MOSFET栅极驱动光电耦合器;高性能光电耦合器;光晶体管管光电耦合器;红外线;TRIAC驱动光电耦合器传感器光电、图像及触摸传感器;光及触摸传感器;热管理;无电池无线传感器图像传感器部(ISG)定制ASIC;定制ULP存储器;定制CMOS图像传感器;集成无源器件;音频/视频的ASSP;连接;SoC、SiP及定制产品;定制代工服务模拟方案部(ASG)模拟、逻辑及时序放大器和比较器;时钟产生;时钟及数据分配;接口;存储器;微控制器;标准逻辑;模拟开关;数字电位计;EMI/RFI滤波器来源:安森美年报,中泰证券研究所整理n安森美与仙童互补,收购带来产品线完整。2017年第三季度功率器件部门营收为7.06亿美元、同比增长73%;模拟电路部门为4.92亿美元,同比增长35.4%;影像传感部门为1.93亿美元,同比增长7%。按照收入的产品分类,则汽车业务营收4.11亿美元,同比增长29%;工业医疗器件营收3.49亿美元,同比增长65%;通讯设施营收2.82亿美元,同比增长46.7%;消费电子营收1.92亿美元,同比增长70%;计算业若出现排版错位,可加微信535600147,获取PDF版本 务营收1.57亿美元,同比增长35.4%。图表161:安森美与仙童的产品线来源:公司官网,中泰证券研究所整理n安森美一直以来都凭借着不断的并购与整合壮大自己的势力。这次收购仙童公司更是为其带来了完整的功率半导体产品线。仙童半导体和安森美都是世界领先的半导体公司,但他们的业务有所差别。安森美擅长的是低中压和模拟控制器件,仙童半导体擅长的是中高压功率器件。两者正好可以互补,合并之后,能够为汽车、工业及通信领域提供全电压范围的功率半导体器件及方案。在消费电子领域,两者在移动电源和数据管理也非常互补,仙童拥有高通Quickcharge2.0的快充,而安森美拥有Quickcharge3.0,合并之后两家能够为整个智能手机领域提供相关的电源器件。图表162:安森美业务营业收入(单位:百万美元)图表163:安森美主营业务同比增速若出现排版错位,可加微信535600147,获取PDF版本16001400120010008006004002000汽车工业医疗通讯设施消费电子计算业务140.0%120.0%100.0%80.0%60.0%40.0%20.0%0.0%-20.0%汽车工业医疗通讯设施消费电子计算业务若出现排版错位,可加微信535600147,获取PDF版本Q1Q2Q3Q4Q1Q2Q32016201620162016201720172017Q1Q2Q3Q4Q1Q2Q32016201620162016201720172017-40.0%若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所n中国增长迅速,亚太地区占比70%左右。2016年中国地区营收为10.8亿美元,同比增长24.3%,为第二大营收地区。2015年新加坡地区的营收首次超过中国,增速为42.5%,2016年新加坡的营收为11.1亿美元,若出现排版错位,可加微信535600147,获取PDF版本 稍微有所下降。安森美营收地区中亚太地区的占比基本稳定在70%,是收入的主要来源。图表164:安森美分地域营收情况(百万美元)新加坡中国日本其他亚太地区美国英国1200100080060040020002010201120122013201420152016来源:wind,中泰证券研究所整理n威世:受益8/6寸高景气,营收下滑颓势扭转n威世科技(Vishay)是世界最大的分立半导体和被动元件的制造商之一。其生产的元件用于工业,计算机,汽车,消费,电信,军事,航空及医疗市场的各种类型的电子设备中。威世科技成立与1962年,最初主要是制造及销售箔电阻为主。经过一系列的战略收购和技术产品创新,威世已经成为能够提供“一站式”服务的完整分立元件解决方案的国际化厂商。n营收下滑趋势扭转,增长开始确立。根据2017年第三季季报,威世科技前三季度营收19.3亿美元,同比增长10.1%。第三季营收为6.78亿美元,同比增长14.5%,环比增长5.1%。威世科技2017年前三季公司净利润为1.66亿美元,同比增长64.8%。毛利润为5.32亿美元,同比增长27%。第三季净利润为6600万美元,毛利润为1.89亿美元。第三季毛利率为27.9%,净利润为9.74%。图表165:威世营业收入(单位:百万美元)图表166:威世净利润(单位:百万美元)若出现排版错位,可加微信535600147,获取PDF版本300025002000150010005000营业收入同比增长%40.0%30.0%20.0%10.0%0.0%-10.0%-20.0%9008007006005004003002001000净利润毛利润净利率%毛利率%35.0%30.0%25.0%20.0%15.0%10.0%5.0%0.0%若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 n威世收入结构稳定,分为五大块业务。威世科技的按照一般分类可以分为被动元器件和半导体两部分。其中半导体产品包括MOSFET、二极管、光学模组,被动元器件包括电阻、电感和电容器。电阻和电感是Vishay的成立以来最初的业务,威世是世界上电容电阻产品最多的公司,根基最好。其在总收入的占比最大,占据了32.5%。2017年第三季度,电阻与电感的营收为2.18亿美元,同比增长13.3%;二极管业务营收为1.6亿美元,同比增长13.9%;MOSFET业务营收为1.26亿美元,同比增长24.2%;电容业务营收为9631万美元,同比增长14.2%,光学组件业务营收为7674万美元,同比增长5.4%。图表167:威世2017年第三季收入结构图表168:威世业务营业收入(单位:百万美元)电阻与电感二极管MOSFET电容光学组件若出现排版错位,可加微信535600147,获取PDF版本11.2%32.5%14.4%17.9%23.9%电阻与电感二极管MOSFET电容光学组件8007006005004003002001000Q12016Q22016Q32016Q42016Q12017Q22017Q32017若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所n2017年各业务有所增长,订单出货比显示行业向好。2017年前三季度,威世科技的五大项业务开始快速增长,扭转了2016年颓势。2017年第三季度,MOSFET的增长速度高达24.4%。2017年订单出货比情况也要比2016年有所提高,2017第四季度MOSFET的订单出货比为1.59,二极管的订单出货比为1.34,高的订单出货比表明了行业开始进入上升周期,对电子元器件的需求上升,未来公司业绩有望增长。n8/6寸晶圆产能紧张导致价格上涨,MOSFET与光学模组毛利有所提高。目前为止全球大约有190条8寸线,新建的线有8条,产能非常有限,随着手机双摄像头的应用和电动汽车、消费电子对MOSFET的需求上升,光学模组和MOSFET的价格也在不断上升,导致了威世科技这两部分产品的毛利率大幅提升,而且这一趋势还有望持续。图表169:威世科技主营业务同比增速图表170:威世产品订单出货比情况若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本Q1Q2Q3Q4Q1Q2Q3201620162016201620172017201730.0%25.0%20.0%15.0%10.0%5.0%0.0%-5.0%电阻与电感二极管MOSFET电容光学组件1.71.61.51.41.31.21.110.90.8电阻与电感二极管MOSFET电容光学组件若出现排版错位,可加微信535600147,获取PDF版本-10.0%-15.0%Q42015Q1Q2Q3Q4Q1Q2Q32016201620162016201720172017Q42017若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所图表171:威世不同产品的毛利率情况来源:Yole,中泰证券研究所整理n三大地区营收占比较为稳定,2017年各地区均有增长。2017年亚洲地区营收为10.62亿美元,同比增长12.9%,亚洲市场是威世科技最大的市场,占据了总营收的40.8%。德国是第二大收入来源,2017年营收为8.4亿美元,同比增长12.5%,占总营收的32.3%。美国为第三大区域,2017年营收为5.9亿美元,同比增长8.9%,占总营收的22.7%。2017年各大地区均有所增长,说明了公司为国际大厂,受全球行业的景气度的影响。图表172:威世分地域营收情况(百万美元)若出现排版错位,可加微信535600147,获取PDF版本 AsiaGermanyUnitedStatesOtherEuropeIsrael1200100080060040020002011201220132014201520162017来源:wind,中泰证券研究所整理n强茂:二极管龙头年初引领调价,重点发展车规级n强茂成立于1986年,2001年上市主要从事整流二极管、功率半导体、突波抑制器等分立式器件的生产。其在台湾、江苏、深圳均有封测厂,一家晶圆生产厂,能够进行功率器件、二极管的生产和封测,具有整合半导体上下游和生产分立器件的核心技术优势。其产品覆盖北美、欧洲、日本、中国大陆、韩国等国家和地区。图表173:强茂的PE估值情况90807060504030201002014/9/302015/9/302016/9/302017/9/30来源:wind,中泰证券研究所整理n子公司资产减损,营收全年转亏。强茂2017年前三季度营收为2.89亿美元,同比下降3%。第三季营收为1.02亿美元,同比下降0.3%,环比增长7.9%。但2017年第四季度末其旗下持股88.42%的太阳能子公司的无形资产及设备提列资产减值,影响EPS约3.35-3.59元。2017年Q3法说会预计2017年原本EPS约2.4元左右,但第四季资产减损后将全年转亏。强茂正考虑出售该子公司厂房设备等,结束太阳能事业。n二极管市场持续向好,价格上涨带动毛利率、净利率提升。强茂2017年前三季度净利润为2050万美元,同比增长45.2%,净利率为6%;毛利润为6540万美元,同比增长7.1%,毛利率为24.3%。2017年第三季净利润为930万美元,同比增长99.6%,环比增长30.7%,净利率为6.3%;毛利润为3080万美元,同比增长27%,环比增长20.7%,毛利若出现排版错位,可加微信535600147,获取PDF版本 率为24.3%。n以二极管为例,供给端:上游硅晶圆缺货严重导致供给越来越紧张,国际大厂纷纷将产能调整为车用级,消费类用二极管供给短缺;需求端:汽车电子、5G、AI等持续带动需求稳健上涨。2017年10月强茂已经涨价约5-10%,预计2018年还要继续,价格的提升带动着毛利率、净利率的持续上涨。图表174:强茂营业收入(单位:百万美元)图表175:强茂净利润(单位:百万美元)若出现排版错位,可加微信535600147,获取PDF版本7006005004003002001000营收同比增长%100%80%60%40%20%0%-20%-40%140120100806040200-20-40净利润毛利润利润率%毛利率%25%20%15%10%5%0%-5%-10%若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所n二极管依然是“现金牛”,重点发展车规级二极管。强茂业务主要分为二极管事业部,光学面板事业部和太阳能事业部。2016年二极管业务营收2.85亿美元,同比下降1.8%;光学面板业务营收7800万美元,同比下降51.3%;太阳能业务营收4510万美元,同比增长24.5%。二极管业务一直是强茂的“现金牛”,能带来稳定的收入。2017年强茂汽车电子与工业用二极管合计占总营收的8-9%,产品主要为雨刷、车灯、充电设备等,2018年预计会得到更多的国际车厂的认可,目标是要提升至15%。图表176:强茂各事业部的产品运营部门主要产品二极管事业部整流二极管、突波抑制器、小讯号元件、电晶管光学面板事业部PC光学镜片、触控面板、保护玻璃太阳能事业部太阳能光电产品、灯用电器附件、城市及照明工程来源:中泰证券研究所整理图表177:强茂2016年收入结构图表178:强茂业务营业收入(单位:百万美元)若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本0.2%11.3%18.2%70.2%二极管事业部光学面板事业部太阳能事业部其他7006005004003002001000二极管事业部光学面板事业部太阳能事业部其他201120122013201420152016若出现排版错位,可加微信535600147,获取PDF版本来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所士兰微:A股IDM龙头,重点关注8寸爬坡n公司成立于1997年,主营业务包括集成电路、半导体分立器件、发光二极管(LED)三大类产品,经过20余年深耕半导体行业,公司已经从一家纯芯片设计公司发展成为目前国内为数不多的IDM公司,陆续承担了国家科技重大专项“01专项”和“02专项”多个科研专题课题,同时也是国家“910”工程的重要承担者。n作为国内半导体IDM商业模式稀缺标的,在国家对半导体产业大力扶持的战略背景下,公司将成为国内半导体黄金十年的显著受益者,在行业高景气的推动下实现持续快速增长。同时,公司在产品布局上积极备战物联网,迎接硬件领域下一波大机会的到来。图表179:士兰微产品体系类别产品线主要产品电源及功率驱动ØAC-DC转换电路ØDC-DC转换电路ØLED驱动电路Ø智能功率模块混合信号及射频ØMEMS传感器Ø遥控车传感器集成电路ØCD光盘伺服电路及系统应用方案Ø数字音频解码电路及系统应用方案Ø无线互联多媒体主控电路及系统应用方案Ø智能家居主控电路及应用方案Ø智能感应产品与方案数字音视频若出现排版错位,可加微信535600147,获取PDF版本 MCUØ以8bitCPU、32bitCPU为核心,集成电源管理模块、RF基带、高速ADC采样等各类特殊控制为接口单元Ø工业自动化用SoCØ电机控制微控制器Ø低功耗蓝牙SoC产品分立器件功率器件、模块Ø高压MOSFET产品、IGBTØPIMØFRDLEDLEDØ蓝光芯片、绿光芯片、白光芯片ØLAMP直插型、SMD贴片型来源:士兰微官网、中泰证券研究所n公司收入持续提升。受益于集成电路、分立器件下游市场的突破以及LED毛利率回升,公司2017年营业收入27.42亿元,同比增长15.44%,归母净利润1.69亿元,同比增长76.75%;2018年第一季度,受消费级景气度下滑以及成本提升影响,公司营业收入6.51亿元,同比增长10.48%,归母净利润3113万元,同比下滑5.10%。图表180:士兰微营收情况(万元)图表181:士兰微利润情况(万元)若出现排版错位,可加微信535600147,获取PDF版本300000250000200000150000100000500000营收(万元)YoY(%)70%60%50%40%30%20%10%0%-10%-20%300002500020000150001000050000归母净利润YoY(%)600%500%400%300%200%100%0%-100%-200%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n三大业务全线增长。分产品来看,公司三大产品收入已连续多年保持增长,2017年增速均维持在10%以上,分立器件业务2017年收入,11.47亿元,同比增长16.79%,收入占比42%;集成电路业务收入10.58亿元,同比增长14.03%,收入占比39%;LED业务2017年迎来高速增长,收入5.05亿元,同比增长19.91%,收入占比略升至19%。图表182:士兰微营收结构图表183:士兰微产品结构(内圈2016vs外圈2017)若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本300000250000200000150000100000500000分立器件集成电路发光二极管2008200920102011201220132014201520162017分立器件集成电路发光二极管18%42%40%39%19%42%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n分立器件、集成电路维持高毛利率,LED毛利率大幅回升。近年来,公司毛利率较为平稳,整体上在25%左右波动,主要受LED业务毛利率大幅波动影响,18Q1综合毛利率略微下滑0.48pct至26.22%,主要由成本增长所致,主要。分产品来看,集成电路毛利率达28.20,分立器件毛利率达27.82%,始终维持较高毛利率;LED业务随着新建产能投产,规模优势下,毛利率大幅提升13.25pct至19.52%。图表184:士兰微利润率图表185:士兰微各产品毛利率若出现排版错位,可加微信535600147,获取PDF版本40.00%35.00%30.00%25.00%20.00%15.00%10.00%5.00%0.00%毛利率(%)净利率(%)60.00%50.00%40.00%30.00%20.00%10.00%0.00%分立器件集成电路发光二极管2008200920102011201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n公司研发投入占比始终维持高位。2012年以来,公司研发投入不断加大,研发投入占收入比重始终维持在10%左右的高位,2017年研发投入达2.79亿元,同比增长18.74%,占收入比重进一步提升至10.19%,我们认为,持续的高研发投入,结合IDM模式下快速的产品迭代,将成为公司未来成长确定性的核心保障。图表186:士兰微研发投入(万元)若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本30000研发费用研发投入占比12.00%若出现排版错位,可加微信535600147,获取PDF版本2500010.00%200008.00%150006.00%100004.00%50002.00%若出现排版错位,可加微信535600147,获取PDF版本0201020112012201320142015201620170.00%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所n器件业务快速增长,产能结构持续调整,产品有望进入汽车、光伏等市场。公司分立器件业务主要产品包括FRD(快回复管)、MOS管、IGBT、PIM模块等产品,2017年公司分立器件业务下,IGBT器件、IGBT大功率模块(PIM)、超级结MOSFET等产品均保持了较快的增长,在白电、工业控制等市场持续拓展。预计公司未来会将高价值产品如CoolMOS、低压MOS以及部分IGBT持续导入8寸线,以提高生产效率及经济性,6寸线将以高压MOS、IGBT为主。预期公司分立器件业务将受益于光伏、新能源汽车、充电桩、家庭分布式电站微型逆变器、家电变频等高成长市场。若出现排版错位,可加微信535600147,获取PDF版本图表187:士兰微分立器件业务收入(万元)分立器件YoY140000120000100000800006000040000200000200820092010201120122013201420152016201780.00%70.00%60.00%50.00%40.00%30.00%20.00%10.00%0.00%-10.00%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所n公司集成电路业务产品组合完善,下游应用广泛,主要产品包括LED驱动电路、IPM智能功率模块、MCU、数字音视频电路、MEMS传感器,各大产品均维持了较快增长:nIPM智能功率模块在白电市场、工业变频器市场持续取得突破,截止2018年5月,海尔、美的、长虹、海信等多家主流国产白电厂商在变频空调等白电产品上使用了超过300万颗士兰微IPM模块,预期今后金将会继续快速成长。nMCU方面,公司系列变频电机控制芯片已经开始批量出货,将广若出现排版错位,可加微信535600147,获取PDF版本 泛应用于白色家电、电动工具等各种无刷直流电机的控制,预计2018年将快速拓展市场。nMEMS传感器方面,公司有能力为手机厂商提供除摄像头和指纹传感器以外全部传感器产品,公司加速度计已开始大量出货,目前已广泛应用于行车记录与国产平板电脑。同时,在先进的封装技术以及充足的封装产能支持下,公司六轴惯性单元、光传感器、磁传感器、压力传感器、硅麦克风等产品也已开始向包括展讯、联发科在内的客户推广,预计2018年将以较快速度拓展市场。若出现排版错位,可加微信535600147,获取PDF版本图表188:士兰微集成电路业务收入(万元)集成电路YoY120000100000800006000040000200000200820092010201120122013201420152016201740.00%30.00%20.00%10.00%0.00%-10.00%-20.00%-30.00%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所n公司内生外延各项规划顺利推进,持续打造研发制造一体化IDM龙头。2017年年报显示,士兰微将加快杭州士兰集昕8寸线产品技术平台的导入,积极拓展产能,积极推进厦门士兰集科12寸特色工艺半导体芯片制造生产线项目和厦门士兰明镓化合物半导体芯片制造生产线项目建设:n8寸线:17年3月末,产出第一片合格芯片;6月末,投入量产;12月末,实现月产15000片;18年一季度产能达2万片/月,考虑到投片到封测的滞后性,预计二季度起可实现2万片/月的产出;年底产能目标为4万片/月,未来每年实现2万片/月的产能提升。n12寸线:士兰集科(公司持有15%股权)将于厦门建设两条12寸90-65nm特色工艺芯片生产线,预计年底动工,目标3年内实现产出。n化合物半导体:士兰明镓将于厦门建设一条4/6寸兼容先进化合物半导体器件生产线,预期将为公司高端LED以及硅基氮化镓器件布局打下坚实基础。扬杰科技:功率半导体十年一剑,内生外延双驱动n扬杰科技成立于2006年,其业务继承于扬杰投资,主要业务是经营如功率二极管、整流桥等电子元器件的研发、制造和销售。经过十多年的发展,扬杰科技发展成为及晶圆、芯片设计封装、营销一体的IDM功率半导体公司,目前拥有3寸、4寸、5寸、6寸晶圆厂,正在规划建设8若出现排版错位,可加微信535600147,获取PDF版本 寸晶圆厂。2016年,扬杰科技在中国功率半导体企业中排名第二,仅次于老牌企业华微电子。图表189:2016中国半导体功率器件十强企业来源:中国半导体行业协会,中泰证券研究所n十年稳扎稳打、业绩持续稳定增长。2017年公司营收为14.7亿元,十年实现十倍增长,同比增长23%;归母净利润为2.67亿元,同比增长32%。2018Q1公司营收为3.96亿元,同比增长31%,实现较高速增长,归母净利润为6400万,同比增长16.7%。图表190:扬杰科技的营收及净利润(亿元)图表191:扬杰科技毛利率与净利率(%)若出现排版错位,可加微信535600147,获取PDF版本营业总收入归母净利润同比(%)同比(%)1614121086420250%200%150%100%50%0%40%35%30%25%20%15%10%5%0%销售毛利率(%)销售净利率(%)若出现排版错位,可加微信535600147,获取PDF版本35%35%36%35%31%33%32%25%26%21%19%16%17%17%17%18%17%13%14%7%来源:wind,中泰证券研究所来源:wind,中泰证券研究所n研发投入不断增加,加固护城河。2017年公司加大的研发投入,研发费用达到7200万元,同比增长44%。一方面公司紧跟市场需求,持续对原有产品进行改进,如成功研制放电管芯片及高压模块雪崩圆形芯片,进一步改善提升TVS产品性能,提升了公司在下游的竞争力。另一方面,公司组建MOSFET、FRED、IGBT与第三代宽禁带半导体的研发,拓展高端市场,努力实现国产化替代。图表192:公司的研发投入保持高速增长(万元)若出现排版错位,可加微信535600147,获取PDF版本 研发费用同比增长若出现排版错位,可加微信535600147,获取PDF版本80007000600050004000300020001000020092010201120122013201420152016201790%80%70%60%50%40%30%20%10%0%若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所n下游业务覆盖面广。在智能电表贴片式整流桥,国内市场份额为25%占比第一。未来随着下游国内家电大厂对国产器件的准入,扬杰科技有望进入白电黑电等家电变频领域,预计在黑电领域能实现突破性的增长。图表193:扬杰科技下游覆盖面广图表194:2016年扬杰科技下游业务板块构成来源:中泰证券研究所来源:公司年报,中泰证券研究所n外延切入6/8寸MOSEFT,实现产品结构升级。2018年一季度,根据公告公司控股了原东光微电子宜兴6寸线,是继公司2015年自建的第二条6寸线,使得公司的更好地切入MOSFET和IGBT产品领域。图表195:扬杰科技产品生产量(千只)图表196:扬杰科技产线布局情况若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本14000000120000001000000080000006000000400000020000000半导体器件分立器件芯片201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:公司官网,中泰证券研究所设计:核心芯片受制于人局面不改,关注CPU/GPU/模拟自主突破!中兴事件再敲警钟,凸显自主可控重要性n中兴通讯受制裁再次敲响警钟,科技之战凸显自主可控核心重要性!从目前产业发展情况来看,我国所需核心芯片主要依赖进口的局面并没有改变。除了之前重点分析的存储,在高性能运算芯片CPU/GPU/FPGA以及高性能模拟芯片领域目前的国产芯片占有率仍几乎为0。图表197:我国所需核心芯片主要依赖进口的局面并没有改变来源:ICCHINA,中泰证券研究所n目前仅海思、展讯在移动通信应用处理器、通信芯片,兆易创新在利基型存储、部分领域微处理器、并向dram主流市场拓展,景嘉微、飞腾、兆芯等在部分CPUGPU领域,矽力杰、圣邦股份在模拟芯片领域实现了部分国产替代,且主要集中在消费级及通信领域,汽车电子、工控、若出现排版错位,可加微信535600147,获取PDF版本 军工领域依赖性更为严重。图表198:中国芯片产业现状及国产化替代来源:中泰证券研究所整理全球CPU市场仍呈高度垄断n中央处理器CPU(CentralProcessingUnit)是数字类电子产品的核心。计算机进行信息处理可以分为两个步骤:一、将数据和程序(即指令序列)输入到计算机的存储器中;二、从第一条指令的地址起开始执行该程序,得到所需结果,结束运行。CPU的作用是协调并控制计算机的各个部件执行程序的指令序列,其有条不紊地进行。n英特尔持续领跑全球CPU市场,份额占据80%。市场研究机构IHSiSuppli在日前发布的研究报告中称,由于新产品“SandyBridge”处理器销售强劲,英特尔市场份额进一步扩大,在2018Q2份额达到81.8%,增加了1.1个百分点。图表199:全球CPU市场占有率若出现排版错位,可加微信535600147,获取PDF版本 来源:Realist,中泰证券研究所n遵循摩尔定律,英特尔获益匪浅。摩尔定律是由英特尔公司的创始人戈登·摩尔通过对1959-1965年芯片上晶体管的集成数据,每隔18-24个月,芯片上集成的晶体管数目就会增加一倍,也就是说处理器的功能和处理速度会翻一番,而成本却会降低一半。按照摩尔定律,英特尔制定自身的发展战略,开发处理器,建造工厂,把运算速度更快的芯片和处理器推向市场。遵循着摩尔定律,英特尔在过去50年中保持着全球领先的水平。图表200:英特尔CoffeeLakeCPU已经进入14nm,Cannonlake进入10nm来源:中泰证券研究所nCPU制程以达物理极限,摩尔定律放缓。当前半导体制造商最先进的制程已经达到了10nm,正在向7nm、5nm前进。但是在硅晶体管的尺寸达到接近10nm的时候,栅氧化层的厚度只相当于十个原子的厚度。这就容易产生量子效应,电子有可能直接穿透二氧化硅绝缘层,导致漏电。此外电路宽度达到几纳米,对光刻技术的精密度越来越高,成本投入非常大。英特尔22nm、14nm、10nm制程的CPU推出时间越来越长,超过了24个月,甚至36个月,摩尔定律开始放缓。若出现排版错位,可加微信535600147,获取PDF版本 图表201:晶体管的尺寸有可能会停止缩小来源:Quora,中泰证券研究所n国产芯片具有后发优势,代工有望追上国际水平。,摩尔定律的放缓,为后来者追上国际一流水平提供了有利的契机。当前英特尔最先进的制程是10nm,台积电、三星最先进的制程为7nm。国内移动芯片华为的海思麒麟980采用台积电代工7nm,基本不逊于高通、三星的芯片。同时国内代工龙头中芯国际14nm预计2019年量产,与国际一流水平差距越来越小。面对国外专利壁垒,国产CPU任重而道远。n目前CPU架构有三家:intel的X86,MIPS公司的MIPS,ARM公司的ARM。在CPU产品中有两项最重要的核心技术:“CPU指令集”和“CPU架构”,依据设计制造处理器的指令集特点可以分为两大体系结构CISC(ComplexInstructionSetComputer,复杂指令集计算机)和RISC(ReducedInstructionSetComputer,精简指令集计算机)。由于CISC指令系统具有难以调试、维护,使计算机研制周期变长等缺点,目前市面上普遍使用RISC。在统一的RISC标准指令集的前提下,可以采用不同的架构来实现CPU产品。n目前,世界上主要有3家著名的具有不同架构的CPU公司:居统治地位的Intel公司的x86架构,MIPS公司的MIPS(MicroprocessorwithoutInterlockedPipedStages,无内部互锁流水级的微处理器)架构,以及ARM公司的嵌入式CPU架构ARM(AdvancedRISCMachines,高级精简指令集制造)。除此之外还有MIPS64、SunSPARC、POWERPC、Alpha、IA64等体系架构。若出现排版错位,可加微信535600147,获取PDF版本 图表202:ARM和X86占据芯片市场主流(十亿美元)来源:AMD,中泰证券研究所n国产CPU发展几经周折,目前产业进入起步阶段。二十世纪六十年代,我国使用的计算机基本自主设计,与国际水平相比差距不大,代表产品有:晶体管109机(1965年)、小型集成电路105机(1968年)、大型集成电路专用77型微机(1977)等。但后来70年代美国大规模集成电路特别是超大规模集成电路取得突破性进展,全球集成电路呈现快速发展态势,而我国却受限于经济条件、国际技术封锁等原因未能发展起来。n十余年的发展,国产CPU在部分领域具有完全的自主核心技术,涌现出一批科研院所和骨干企业,拥有“完全自主可控的指令集”和“兼容国际主流、自主指令系统”的CPU产品,其中几个比较有名的是飞腾、龙芯、中科曙光、兆芯等。图表203:几款国产CPU比较龙芯申威兆芯海光飞腾宏芯SoC研发单位中科院计算机所江南计算所上海兆芯天津海光天津飞腾中晟宏芯华为海思指令集体系MIPSAlphaX86/ARMX86(AMD)SPARC/ARMPowerARM架构来源授权+自研授权+自研授权授权授权授权授权代表产品龙芯1/龙芯2/龙芯3申威SW26010ZXCPC-1060FT-1000/1500CP1麒麟970实际应用灵珑/福珑/逸珑神威蓝光/太湖之光天河1/天河2RedPower服务器mate10/P20来源:中泰证券研究所n与AMD合作,授权开发服务器芯片。2016年AMD与中科曙光的子公司天津海光合作成立两家合资公司:成都海光微电子技术有限公司和成都海光集成电路设计有限公司,授权合资公司开发X86技术的服务器芯片。其中海光微电子是MAD控股51%,天津海光控股49%,海光集成电路则是天津海光控股70%,AMD控股30%。AMD向海光微电子授权x86芯片技术许可并负责芯片的生产,而海光集成电路负责芯片设计和销售工作。若出现排版错位,可加微信535600147,获取PDF版本 图表204:AMD与天津海光成立合资公司来源:中泰证券研究所n本次授权技术先进,中科曙光有望消化吸收。本次授权的技术是AMD最先进的ZEN技术,为AMD最先进的服务器处理器,市场竞争力强。目前来说x86的基础专利和知识产权目前全球只有英特尔、AMD和威盛(VIA)三家持有。在这基础上的专利技术,三家又有各自的核心微架构,英特尔有Skylake,AMD有推土机和Zen,这些微架构是构成强劲处理器的核心。nZEN技术相比于AMD传统的推土机处理器系列,性能提升58%,在多线程CinebenchR15测试中,Zen的效能功耗比是打桩机的两倍还多。Zen的突破使得AMD有了和Intel媲美的产品。在AMD的帮助下,海光可以更好的对X86进行消化吸收,实现在CPU技术上的自主设计和研发。图表205:相比于传统的芯片,ZEN性能提升40%图表206:中科曙光基于AMD开发的服务器芯片来源:AMD,中泰证券研究所来源:中科曙光官网,中泰证券研究所n基于MIPS的龙芯,为我国全方位CPU产品系列。龙芯系列CPU是中国科学院计算技术研究所开发的高性能CPU。在IP核方面开发了多款若出现排版错位,可加微信535600147,获取PDF版本 高性能及超高性能多核。并给予IP核开发了龙芯1号系列、龙芯2号系列、龙芯3号系列,采用MIPS32、MIPS4指令架构,形成了性能从中低档到高性能,应用从嵌入式到桌面及高性能服务器的全方位CPU产品系列。n中国科学院计算技术研究所对龙芯1号、2号、3号的应用方向有着明确的定位,龙芯1号为小CPU,zhuyao应用于超低价位云终端、工业控制/数据采集及航空航天等特殊应用环境。龙芯2号定位为中端CPU,应用于低成本台式机、笔记本、消费类电子产品等领域。龙芯3号系列为大规模高性能CPU,定位于服务器、高性能计算机、工业控制、网络安全、数字信号处理等领域。龙芯1号龙芯2号龙芯3号图表207:龙芯产品系列产品1A、1B、1C、1D2E、2F、2G、2H3A、3B定位单核、低功耗、低成本的SoC嵌入式微处理器是64位单核心处理四核、八核工艺0.18um90nm-65nm65nm-28nm最高主频300MHz1GHz1.5GHz封装BGA、QFPFCB-GABGA来源:龙芯官网,中泰证券研究所n龙芯CPU产业化取得很多成绩,但是也面临很多困境。为了推动龙芯CPU的产业化进程,早在2007年中科院就与意法半导体就龙芯IP商业化发展合作。此外,还先后成立了江苏中科梦兰龙芯产业化基地,龙芯中科技术有限公司等实体以推进龙芯CPU的产业化进程。目前,围绕龙芯CPU的产业化已经初步形成了由上百家公司组成的产业链。2014年曙光信息产业股份有限公司推出了基于龙芯3B处理器的服务器产品和桌面办公终端等。2015年的北斗卫星就首次使用了龙芯CPU。n但是目前,对芯片市场而言,智能手机和平板电脑等强调低功耗的移动设备以ARM架构为主,PC、服务器、高性能计算机等则以X86架构为主,MIPS在Linux适用性不足。此外性能、工艺水平等,龙芯CPU与Intel和AMD相比还有很大差距。n兆芯CPU,自主安全可靠的国产x86解决方案。兆芯的x86技术来源于VIA,VIA持有兆芯19.9%的股权,上海市国资委下属的单位持有兆芯80%的股份。x86架构芯片在全球互联网和信息技术产业中都格外关键,其在桌面办公领域的占有份额高达95%以上,并且具有生态系统成熟,操作系统、办公软件迁移成本低廉,软硬件兼容性出色等优势。n2014年兆芯首次推出基于X86架构的ZX-A双核处理器,并于2015年实现了ZX-C四核处理器的量产。2018年最新发布的KX-5000系列处理器基于28nm制造工艺,是国内第一款支持双通道DDR4内存的国产通用CPU。从测试来看,在使用情况上和国际主流CPU几乎没有差别。可同时兼容X86和Linux系统。若出现排版错位,可加微信535600147,获取PDF版本 图表208:兆芯国产x86通用处理器来源:兆芯官网,中泰证券研究所n自主架构,申威走“农村包围城市路线”。申威处理器简称“SW处理器”,源自于DEC的Alpha21164,采用基于RISC的自主指令集,Alpha架构,具有完全自主知识产权,其研制得到国家“核高基”专项资金支持,其产品有单核SW-1、双核SW-2、四核主频SW-410、十六核SW-1600、SW-1610等,SW1600运用到我国公开面世的首台采用自主研发生产处理器的“神威蓝光”超级计算机上,达到每秒千万亿次浮点运算能力;SW-1600、SW-1610突破了我国自主设计CPU频率1.5GHz的界限。申威处理器多应用在高性能桌面及国产服务器上。n由于实力有限,申威定位很明确,并不是在桌面CPU和智能手机CPU市场与外商硬拼,而是走“农村包围城市路线”,在防火墙、IDSIPS漏洞扫描系统、固态硬盘主控芯片、工控芯片等将成为自主CPU替换马甲CPU和国外CPU的突破口和根据地。n根骨申威的规划,在2019年要完成第四代申威核心与申威432的研发,计划设计的主频为2.2-2.5Ghz,在2022年完成第五代申威核心与申威432的研发,主频达2.8-3.0GHz,综合性能达到同期国际主流水平的80%。预计届时可以全面替代英特尔、AMD的高性能CPU。图表209:申威服务器芯片升级路线若出现排版错位,可加微信535600147,获取PDF版本 来源:成都申威官网,中泰证券研究所n飞腾CPU,基于ARM的国产服务器芯片。天津飞腾是中国大陆首家拿到Arm授权的企业,并在2014年就推出了第一款Arm服务器芯片。其研制Arm服务器芯片内核并不是Arm架构公版内核,而是完全基于自主研发,可谓“飞腾牌内核”。n旗下产品有基于飞腾自研的ARMv8指令集兼容处理器核FTC660的FT-1500系列企业级应用处理器和飞腾自研的ARMv8指令集兼容处理器核FTC661的FT-2000系列企业级应用处理器。图表210:飞腾服务器芯片产品类别FT-1500A/4FT-1500A/16FT-2000/64工艺特征28nm工艺28nm工艺28nm工艺核心集成16个FTC660处理器核集成4个FTC660处理器核集成64个FTC661处理器核主频工作主频1.5GHz工作主频1.5GHz~2.0GHz工作主频1.5GHz~2.0GHz缓存集成8MB二级cache和8MB三级cache集成2MB二级cache和8MB三级cache集成32MB二级cache,扩展支持128MB三级cache存储器接口集成4个DDR3-1600存储控制器,可提供51.2GB/s访存带宽集成2个DDR3-1600存储控制器,可提供25.6GB/s访存带宽扩展支持16个DDR3-1600存储控制器,可提供204.8GB/s访存带宽PCIE接口集成2个x16、4个x8PCIE3.0接口集成2个x16、4个x8PCIE3.0接口集成2个x16、4个x8PCIE3.0接口功耗最大功耗35W最大功耗15W最大功耗100W封装FCBGA封装,引脚个数1944FCBGA封装,引脚个数1150FCBGA封装,引脚个数2892来源:飞腾官网,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 GPU应用广泛需求爆发,景嘉微国产图显稀缺标的nGPU(graphicsprocessingunit,图形处理器)又被称为显示芯片、视觉处理器,是个人电脑、工作站、游戏主机以及移动设备(智能手机、平板电脑、VR设备)上专门运行绘图运算的微处理器。GPU主要有三种架构:与专用电路板及组件组成独立显卡,GPU内嵌于主板或北桥芯片上组成集成显卡,以及随着移动设备发展逐渐广泛采用的自带于CPU组成SoC(片上系统)。图表211:独立显卡与集成显卡图表212:NvidiaTegraK1SoC来源:互联网,中泰证券研究所来源:英伟达,中泰证券研究所nGPU与CPU差别在于片内的缓存体系和数字逻辑运算单元的结构差异:GPU核的数量远超CPU但是核的结构较CPU简单,因此被称为众核结构。众核结构非常适合把同样的指令流并行发送到众核上,采用不同的输入数据执行,从而完成图形处理中的海量简单操作,如对每一个顶点进行同样的坐标变换,对每一个顶点按照同样的光照模型计算颜色值。GPU利用自身处理海量数据的优势,通过提高总的数据吞吐量(Throughput)来弥补执行时间(Latency)长的缺点。图表213:CPU、GPU结构差异导致应用不同图表214:GPU每秒浮点数处理次数远高于CPU来源:英伟达年报,中泰证券研究所来源:谷歌年报,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 nGPU在大规模并行计算中体现相互来的明显优势,使GPU除了在图像处理的明显优势之外,大规模切入计算领域,能够在并行计算环境中速度快于同级别CPU几十倍速度,同时对能耗的要求较低,因此在人工智能、深度学习领域等需要大数据处理行业,GPU市场需求开始激增。此外,GPU有望随着移动VR和智能驾驶的兴起得到更加广泛的应用——我们在此前周报中曾经提示,全球GPU巨头英伟达2015年、2016年营收持续升高,其中2016年(2017财年)公司整体利润激增171%,至16.66亿美元,营收同比增长38%至69.1亿美元,创历史新高!这一信号意味着GPU的大规模并行计算能力正被广泛应用于专业可视化、人工智能、大数据处理等多个领域,市场需求处于爆发期!图表215:NVIDIA营收拐点出现(百万美元)图表216:NVIDIA净利润大幅上升(百万美元)若出现排版错位,可加微信535600147,获取PDF版本800070006000500040003000200010000营业收入(百万美元)营收增速2010201120122013201420152016201740.00%35.00%30.00%25.00%20.00%15.00%10.00%5.00%0.00%-5.00%-10.00%180016001400120010008006004002000-200净利润(百万美元)增速2010201120122013201420152016201721.510.50-0.5若出现排版错位,可加微信535600147,获取PDF版本来源:NVIDIA年报,中泰证券研究所来源:NVIDIA年报,中泰证券研究所nGPU用于矢量化编程提高算法速度,已成为深度学习首选解决方案。矢量化编程强调单一指令并行操作多条相似数据,形成单指令流多数据流的编程泛型。深层模型的算法,如反向传播算法、Auto-Encoder、卷积神经网络等,都可以写成矢量的形式。GPU的众核体系结构包含几千个流处理器,可将矢量运算并行执行,大幅缩短计算时间。利用GPU训练深度神经网络,可以充分发挥其数以千计的计算核心的高效并行计算能力。在使用海量训练数据的场景下,该处理器所耗费的时间大幅缩短,占用的服务器也更少。如果针对适当的深度神经网络进行合理优化,一块GPU卡相当于数十甚至上百台CPU服务器的计算能力。因此GPU已经成为业界在深度学习模型训练方面的首选解决方案。n结合GPU计算和集群计算技术,构建GPU集群正成为加速大规模深度神经网络训练的有效解决方案。图表217:数据并行的基本架构图表218:GPU用于深度学习示例若出现排版错位,可加微信535600147,获取PDF版本 来源:《中国计算机协会通讯》,中泰证券研究所来源:英伟达,中泰证券研究所n英伟达于2016年4月7日发布首款用于深度学习TeslaP100图形处理芯片,性能大幅提升,发布后就销售4500块,GP100核心是目前基于16nmFinFET工艺打造的最大的GPU核心,面积达到了610平方毫米,150亿颗晶体管。同期英伟达还推出了全球首款用于深度学习训练的超级计算机DGX-1,售价12.9万美元。该款机型首次搭载了8块TeslaP100计算卡,其深度学习计算性能高达170Teraflops,是搭载双路至E5平台运算性能的56倍以上,平均学习时间也从150小时缩短到仅仅2个小时。整台设备是2017发布的超级计算机运算能力的12倍。图表219:TeslaP100相关参数图表220:TeslaP100(左)与DGX-1来源:NVIDIA,中泰证券研究所来源:NVIDIA,中泰证券研究所n无人驾驶汽车是人工智能在汽车行业的重大应用,需要传感器收集数据以及处理器对大量数据进行快速运算作为支撑。英伟达已经开发了两代DrivePX无人驾驶汽车平台,其中DRIVEPX2搭载两颗NVIDIATegra处理器(共8个A57核心和4个Denver核心,共计12颗CPU和两颗基于NVIDIAPascal架构的新一代GPU,采用16nmFinFET工艺,单精度计算能力达到8TFlops,功耗250瓦。n英伟达无人驾驶汽车平台工作流程为:摄像头、雷达等传感器获得的数据输入NVIDIADrivePX2平台,Tegra处理器实现多路传感器数据融合,然后利用GPU的大规模并行计算能力进行深度学习和图像识别及分类,通过网络构建一个处理和学习传感器信息的闭环。并且在利用车载以及专业服务器硬件资源进行深度学习之后,利用成果反过来提升车载的软件算法。从而得到正确率极高的识别输出,实现安全的无人驾驶。若出现排版错位,可加微信535600147,获取PDF版本 图表221:英伟达DRIVEPX流水线模型图表222:复杂场景需要以深度学习为基础的物体识别/分类来源:NVIDIA,中泰证券研究所来源:NVIDIA,中泰证券研究所图表223:“传感器+DRIVEPX+GPU深度学习计算机”闭环图表224:一辆奥迪A7的识别需要大量的计算做基础来源:NVIDIA,中泰证券研究所来源:NVIDIA,中泰证券研究所n景嘉微:图形显控赛道稀缺性标的,新品持续迭代有望直接受益自主可控。公司作为A股唯一图形处理芯片设计公司,研发力量雄厚,背靠国防科大,并积极与国内外算法公司展开新技术合作。首款具备自主知识产权的图形处理芯片JM5400开始应用,新一代28nm制程芯片JM7200新品量产在即,能够满足高端嵌入式应用以及信息安全计算机桌面应用的需求,有望率先用于党政军办公电脑。图表225:景嘉微成立以来发展历程若出现排版错位,可加微信535600147,获取PDF版本 来源:招股说明书,中泰证券研究所n尽管我们看到当前图形处理芯片领域英伟达+AMD基本占据绝大多数份额,但是GPU凭借在大规模并行计算中体现相互来的明显优势,正被广泛应用于计算机视觉、人工智能、大数据处理等多个领域,前景广阔。而国内企业也纷纷也意识到当前核心技术受制于人、CPU/GPU/FPGA极度依赖进口、难以保障党政军和其他重要信息系统运行安全的局面亟待解决,今年中兴通讯断供事件、去年intel漏洞门事件再次凸显“自主可控”、国产化替代重要性。我们认为下一阶段重点攻克高性能运算芯片也将是产业发展一大方向,景嘉微作为国产图显芯片赛道龙头有望从中受益!n大基金联合湖南高新纵横(向上穿透湖南高新创投→湖南省政府),大力支持公司快速切入民用。公司近期发布非公开发行股票预案(修订稿),向国家集成电路产业投资基金、湖南高新纵横资产募集资金总额不超过10.88亿元。募投项目主要为高性能通用图形处理器芯片和消费级通用型芯片(包括通用MCU、低功耗蓝牙芯片和Type-C&PD接口控制芯片)。交易完成后,国家集成电路基金可能成为持股5%以上的股东,标志着国家集成电路基金正式入股GPU领域。n国家产业基金入股彰显公司技术实力,公司军民融合战略与GPU龙头地位将得以夯实。一方面,发展自主可控高性能GPU芯片是保障信息安全、解决保障性及技术封锁的重要手段;另一方面,泛物联网时代终端接入数大幅提升,通信芯片(包括WiFi、蓝牙等)及微处理器(MCU)需求大幅提升。在政策支持、技术研发与下游广阔空间下公司民用产业化进程有望加速推进。模拟芯片产业重要一环,替代空间同样巨大n模拟芯片是连接虚拟与现实的桥梁。模拟芯片(AnalogIC或“模拟IC”)是处理连续性的光、声音、速度、温度等自然模拟信号的芯片。模拟芯片和数字芯片是处理不同信号的两类协作并存的芯片产品,例如外界的声音、视频等模拟信号通常需要通过传感器和模拟芯片,把这个连续的模拟信号进行采集、滤波、放大,再经过一个AD转换器才能变成“0”、若出现排版错位,可加微信535600147,获取PDF版本 “1”的数字信号后,交由数字芯片来进行数字信号处理、存储等。图表226:模拟芯片是连接虚拟与现实的桥梁来源:圣邦股份,中泰证券研究所n从分类来看,按技术类型来分有只处理模拟信号的线性IC和同时处理模拟与数字信号的混合IC;按应用来分可分为标准型模拟IC和特殊应用型模拟IC。标准型模拟IC包括放大器(Amplifier)、电压调节与参考对比(VoltageRegulator/Reference)、信号界面(Interface)、数据转换(DataConversion)、比较器(Comparator)等产品。特殊应用型模拟IC主要应用在5个领域,分别是工业、通信、汽车、电脑周边和消费类电子。图表227:模拟芯片种类分布来源:Google年报,中泰证券研究所n模拟芯片市场持续增长,中国市场占比逐步提升。根据世界半导体贸易协会(WSTS)统计,2017年全球模拟芯片销售额同比增长10.91%达到531亿美元,约占全球半导体销售额4122亿美元的13%。经测算,若出现排版错位,可加微信535600147,获取PDF版本 2009年-2017年全球模拟芯片销售额复合年均增长率为6.53%。WSTS预计2018年全球模拟芯片销售规模将达到574亿美元,预计同比增长8.12%。从中国模拟芯片市场来看,2016年中国模拟芯片市场规模达到1994.9亿元(约合297亿美元),同比增长13.5%,占全球模拟芯片销售额的62%。图表228:全球模拟芯片销售规模占比(亿美元)图表229:中国模拟芯片销售规模及增长(亿元)若出现排版错位,可加微信535600147,获取PDF版本全球模拟芯片销售额(亿美元)全球半导体销售额(亿美元)模拟芯片占比2500销售收入(亿元)增长率1994.935%若出现排版错位,可加微信535600147,获取PDF版本500014.50%200029.36%1756.91608.930%25%若出现排版错位,可加微信535600147,获取PDF版本4000300014.00%13.50%15001000877.411351278.81368.51466.612.67%20%13.1555%%若出现排版错位,可加微信535600147,获取PDF版本20001000013.00%12.50%12.00%11.50%50009.70%9.20%7.01%7.17%10%5%0%若出现排版错位,可加微信535600147,获取PDF版本来源:WSTS,中泰证券研究所来源:WSTS,中泰证券研究所n模拟芯片产品无处不在,用途特别广泛。所有用电的,包括智能手表、手机、Pad、笔记本、电视、仪器仪表、工业控制、医疗设备、汽车电子等都会用到模拟芯片产品。现在很多新兴应用,比如AR、VR、扫地机器人、无人机、共享单车也都要用到。n模拟芯片相较于数字芯片有以下4个特点:ü生命周期较长,可长达10年甚至更久。数字IC强调的是运算速度与成本比,数字IC设计的目标是在尽量低的成本下达到目标运算速度。设计者必须不断采用更高效率的算法来处理数字信号,或者利用新工艺提高集成度降低成本。因此数字IC的生命周期很短,大约为1年-2年。模拟IC强调的是高信噪比、低失真、低耗电、高可靠性和稳定性。产品一旦达到设计目标就具备长久的生命力,生命周期长达10年以上的模拟IC产品也不在少数。如音频运算放大器NE5532,自上世纪70年代末推出直到现在还是最常用的音频放大IC之一,几乎50%的多媒体音箱都采用了NE5532,其生命周期超过25年。因为生命周期长,所以模拟IC的价格通常偏低。ü模拟芯片少采用CMOS工艺,而数字芯片多采用CMOS工艺。因为模拟芯片通常要输出高电压或者大电流来驱动其他元件,而CMOS工艺的驱动能力很差。模拟IC早期使用Bipolar工艺,但是Bipolar工艺功耗大,因此又出现BiCMOS工艺,结合了Bipolar工艺和CMOS工艺两者的优点。另外还有CD工艺,将CMOS工艺和DMOS工艺结合在一起。而BCD工艺则是结合了Bipolar、CMOS、DMOS三种工艺的优点。在高频领域还有SiGe和GaAS工艺。这些特殊工艺需要晶圆代工厂的配合,同时也需要设计者加以熟悉,而数字IC设计者基本上不用考虑工艺问题。ü模拟芯片设计与元器件关系紧密。作为处理模拟信号的模拟芯片,若出现排版错位,可加微信535600147,获取PDF版本 必须具备低噪音和低失真性能,因此某些模拟芯片在电路板的布局也必须考虑在内,而这些是数字IC设计所不用考虑的。因此模拟IC的设计者必须熟悉几乎所有的电子元器件。ü模拟芯片辅助工具少测试周期长。模拟芯片设计的辅助工具少,其可使用的EDA工具远远不如数字芯片设计多,且由于模拟芯片设计考虑的因素较多,须具有高度稳定性,因此认证周期长。某些模拟IC产品需要采用特殊工艺和封装,必须与晶圆厂联合开发工艺,如BCD工艺和30V高压工艺。此外,有些产品需要采用WCPS晶圆级封装,拥有此技术的封装厂目前还不多。图表230:模拟IC与数字IC区别模拟IC数字IC市场细分品类多,单一市场较小细分品类较少,单一市场规模大生命周期较长,可达10年较短,1至2年若出现排版错位,可加微信535600147,获取PDF版本工艺特殊工艺如BiCMOS、CD、BCD、SIGe、GaAS关注参数强调高信噪比、低失真、低耗电、高可靠性和稳定性信号链(ADC、DAC、放大器、Clocking产品等)电源管理(AC/DC、DC/DC、电池管理等)多采用CMOS强调数字信号运算速度与成本比存储器:Dram、NandFlash、NorFlash微处理器:CPU、GPU、MCU若出现排版错位,可加微信535600147,获取PDF版本来源:公开资料整理,中泰证券研究所下游需求持续拉动稳定增长,仍集中在欧美厂商n从网络通信到工业汽车,下游应用持续驱动模拟IC市场增长。从下游应用结构来看,国内模拟IC主要应用在网络通信、消费电子、计算机、汽车电子以及工业控制等领域,分布占比40%、27%、9%、9%以及12%。受益于近年来智能手机以及可穿戴设备普及,网络通信与消费电子占比最高;未来随着智能制造、物联网、汽车电气化逐步发展,工业控制、汽车电子用模拟IC有望快速增长。赛迪顾问数据显示,2016年国内工业控制用模拟IC市场规模达231.4亿元,同比增长13.3%,增速超过模拟IC平均增速。图表231:国内模拟IC下游应用结构若出现排版错位,可加微信535600147,获取PDF版本 消费网络通信计算机汽车电子工业控制其他3%12%27%9%9%40%来源:赛迪顾问,中泰证券研究所n2017年,全球前十大模拟IC供应商的总销售额达到了323亿美元,与2016年的284亿美元相比,增长了14%。市场份额则增长了2%。也就是说,十家供应商就贡献了整体模拟IC市场10%的增长。n在具体厂商排名上,TI以99亿美元的销售额和18%的市场份额,继续领衔2017年模拟IC市场。与2016年17%的市场份额相比,增长1%。销售额方面,TI去年销售额增长14亿美元,同比增长16%,市场份额是2016年第二大模拟IC供应商ADI的两倍多。根据ICInsights的统计,2017年,TI的IC销售额为130亿美元,半导体总销售额为139亿美元,模拟IC占其总销售额的76%,占其半导体总销售额的71%。图表232:2017年全球前十模拟芯片公司图表233:全球模拟芯片市场份额来源:ICInsights,中泰证券研究所来源:ICInsights,中泰证券研究所n国内市场份额仍以欧美龙头为主。赛迪顾问数据显示,2016年,国内模拟IC前五大厂商全为欧美跨国公司,德州仪器、恩智浦、英飞凌、思佳讯以及意法半导体分布占据我国模拟IC市场12.4%、6.3%、5.9%、5.3%以及5.2%的市场份额。图表234:国内市场份额若出现排版错位,可加微信535600147,获取PDF版本 图表235:际巨头的中国布局公司名称介绍中国布局主要产品AnalogDevices成立于1965年,是世界上历史最悠久的半导体公司之一,目前是数据转换和信号调理技术全球领先的高性能模拟集成电路供应商。成立了大中华区客户服务中心,通过其来加快中小型客户市场的开拓速度,加强与客户的沟通和联系;凭借其自身优势,积极参与我国3G网络、智能电网、物联网等新兴领域的研发与建设。数据转换器、放大器和线性产品、射频(RF)芯片、电源管理产品、基于微机电系统(MEMS)技术的传感器、其他类型传感器以及信号处理产品,包括DSP和其他处理器。英飞凌成立于1999年,其前身为西门子集团的半导体部门,主要为有线和无线通信、汽车及工业电子、内存、计算机安全及芯片卡市场提供现金的半导体产品及完整的系统解决方案。司目前在中国已经拥有8家公司和1700多名员工汽车系统芯片、静电放电(ESD)与电磁干扰(EMI)类产品、微控制器(或单片机)、射频与无线控制、安全芯片、传感器芯片、智能卡芯片、收发器、集体管与二极管等。LinearTechnology成立于1981年,是一家设计、制造和销售门类宽泛的标准模拟和混合高性能集成电路的半导体公司。在中国市场建立了北京、上海、深圳、香港办事处。放大器、电池管理、数据转换器、高频、接口、电压调节器和电压基准。MaximIntegratedProducts成立于1983年,是全球范围内模拟和混合信号集成产品的设计、开发与生产领域的领导者之一,致力于为客户提供高集成度的芯片产品。非常重视中国市场,在上海和深圳两地设有技术中心,在北京、上海和深圳拥有三个代表处数据转换接口电路、射频芯片、时钟与振荡器、电池管理电路、光纤收发器、存储管理、微控制器、运算放大器、电源管理电路、T/E载波收发器、开关与复用器、传感器、电压基准、自动识别等。意法半导体成立于1987年,以业内最广泛的产品组合著称,具备多元化的技术、尖端的设计能力、知识产权组合、合作伙伴战略和高效的制造能力。公司的产品战略专注于传感器与功率芯片、汽车芯片和嵌入式处理解决方案。亚太地区有四个研发/IC设计中心、1个前工序制造厂、3个后工序制造厂(其中一个在中国)、37销售办事处(14个在中国)、1个亚洲仓储中心,以及1.7万名员工。MEMS、传感器、分立、先进模拟产品;动力总成、安全系统、车身和信息娱乐等;微控制器、数字消费、影像芯片、应用处理器和数字ASIC等德州仪器成立于1947年,主要从事数字TI的销售、市场、研发以及制造员放大器、比较器、电源管理、射频芯TINXP英飞凌思佳讯意法半导体其他12.4%6.3%5.9%5.3%64.9%5.2%来源:赛迪顾问,中泰证券研究所国若出现排版错位,可加微信535600147,获取PDF版本 信号处理与模拟电路方面的研究、制造和销售,处于全球模拟集成电路市场的领导地位,在包括数字信号处理器、模数/数模转换器、模拟集成电路等不同产品领域都占据领先位置。工遍及中国16个城市片、数据转换、接口电路等模拟集成电路产品和DSP数字信号处理产品。来源:圣邦股份招股说明书,中泰证券研究所圣邦股份:国内模拟龙头,期待持续稳定成长n圣邦股份于2007年成立,2017年6月上市。公司专注于高性能、高品质模拟集成电路芯片设计及销售,目前拥有16大类1000余款产品,涵盖信号链和电源管理两大领域,包括运算放大器、比较器、音/视频放大器、模拟开关、电平转换及接口电路、小逻辑芯片、AFE、LDO、DC/DC转换器、OVP、负载开关、LED驱动器、CPU电源监控电路、马达驱动、MOSFET驱动及电池管理芯片等。公司产品可广泛应用于手机与通讯、消费类电子、工业控制、医疗仪器、汽车电子等领域,以及物联网、新能源、可穿戴设备、人工智能、智能家居、无人机、机器人和共享单车等新兴电子产品领域,终端客户超两千家。图表236:圣邦股份产品体系来源:圣邦股份官网,中泰证券研究所n公司收入、业绩稳健增长。受益于业务拓展、销量增长、产品结构改善,若出现排版错位,可加微信535600147,获取PDF版本 公司收入、归母净利润加速增长。公司2017年营业收入5.32亿元,同比增长17.60%,归母净利润9387万元,同比增长16.33%;2018年第一季度,公司营业收入1.33亿元,同比增长32.91%,归母净利润1749.47万元,同比增长21.67%。图表237:圣邦股份营收情况(亿元)图表238:圣邦股份利润情况(万元)若出现排版错位,可加微信535600147,获取PDF版本6.005.004.003.002.001.000.00营业收入(亿元)YoY(%)2012201420162018Q135%30%25%20%15%10%5%0%100009000800070006000500040003000200010000归母净利润(万元)YoY(%)2012201420162018Q130%25%20%15%10%5%0%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n两大业务同步成长。分产品来看,公司两大产品线收入已连续多年保持增长,电源管理产品2017年收入3.20亿元,同比增长19.80%,收入占比60%;信号链产品收入2.11亿元,同比增长14.43%,收入占比40%。图表239:圣邦股份营收结构(亿元)图表240:圣邦股份产品结构(内圈2016vs外圈2017)若出现排版错位,可加微信535600147,获取PDF版本电源管理产品信号链产品6电源管理产品信号链产品若出现排版错位,可加微信535600147,获取PDF版本40%41%59%60%543210201220132014201520162017来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n电源管理、信号链产品毛利率均现增长势头。公司毛利率维持高位,稳中有升,2018年第一季度净利润率下滑主要是由于摊销股份支付费用446.52万元。分产品来看,电源管理产品毛利率达36.32%,相较2016年提升近4个百分点;信号链产品毛利率始终维持高位,较2016年提升3个百分点至54.18%。若出现排版错位,可加微信535600147,获取PDF版本 图表241:圣邦股份利润率图表242:圣邦股份各产品毛利率若出现排版错位,可加微信535600147,获取PDF版本50.00%45.00%40.00%35.00%30.00%25.00%20.00%15.00%10.00%5.00%0.00%毛利率(%)归母净利率(%)60.00%50.00%40.00%30.00%20.00%10.00%0.00%电源管理产品信号链产品201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n公司研发投入占比不断提升。2012年以来,公司研发投入快速增长,多年维持在30%左右的增速,研发投入占收入比重持续提升,2017年研发投入达6519万元,同比增长33.80%,占收入比重进一步提升至12.27%,持续高研发投入下,公司新产品快速迭代推出,2017年完成了200余款新产品的研发。若出现排版错位,可加微信535600147,获取PDF版本图表243:圣邦股份研发投入(万元)研发费用(万元)研发费用占比(%)7000600050004000300020001000020122013201420152016201714.00%12.00%10.00%8.00%6.00%4.00%2.00%0.00%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所n高效低功耗技术领先,不断充实完善电源管理品类。公司2017年,推出了多款电源管理芯片新产品,涵盖LED驱动电路、LDO、DC/DC转换器、CPU电源监控电路、锂电池充电及保护管理芯片、OVP、马达驱动芯片以及负载开关等多个领域。公司在在高效低功耗电源管理芯片技术上深耕多年,在工艺、封装尺寸等方面有深厚的技术积累,看好公司未来在低功耗、大功率、大电流、高压等不同方向上的持续拓展。图表244:圣邦股份电源管理产品收入情况(亿元)图表245:圣邦股份信号链产品收入情况(亿元)若出现排版错位,可加微信535600147,获取PDF版本 来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n持续拓展高性能运放、ADC、DAC市场。公司2017年推出了高性能运算放大器、HIFI音频放大器、模拟开关及接口电路等多款信号链产品;2018年又将陆续推出ADCDAC新品,以低速应用为主,下游市场主要为工业控制、医疗等领域。n模拟芯片龙头,成长空间巨大。WSTS数据显示,2018年全球模拟芯片市场规模预计为574亿美元,其中,中国市场占全球份额约为60%左右,而我国模拟芯片产量仅占全球10%左右,国产替代空间巨大。圣邦股份作为A股稀缺模拟芯片优质标的,在技术积累、制造工艺、封装工艺上稳步缩小与国外龙头的差距,部分产品已达到世界领先水平,打破了国外垄断。从收入上看,公司市场份额仍不足国内市场1%,成长空间巨大。设备:国产化迫在眉睫,首先建立基本供应体系两大矛盾使建立国产设备供应体系迫在眉睫n我们认为当前中国半导体设备存在两大主要矛盾,即国内设备自给不足和半导体设备需求旺盛之间的矛盾和国内半导体设备极度依赖进口和以美国为代表有可能限制中国进口之间的矛盾。在这两大矛盾的共同推动下,发展国产半导体设备、建立起基本的国产设备供应体系迫在眉睫。n中国半导体设备需求持续猛进。根据SEMI统计,2017年全球半导体设备销售额为559.3亿美元,同比增长36%。中国为第三大半导体设备销售地区,2017年半导体设备销售额为75.9亿美元,同比增长17.5%,占全球半导体设备销售额的13.6%,仅次于韩国和台湾。同时SEMI预计2018/2019年中国大陆半导体设备支出将继续以65%/57%的增速成长,从全球地区来看有望跃居至第二位!图表246:全球半导体设备市场(亿美元)图表247:中国为第三大半导体设备销售地区若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本700600500400369.1全球设备市场(亿美元)全球增速%36%601559.3412.3374.9367.340%5%14%22%6%11%10%32%中国30%欧洲20%日本韩国若出现排版错位,可加微信535600147,获取PDF版本3002001000318.218%-14%-2%12%7%10%北美0%台湾-10%其他-20%若出现排版错位,可加微信535600147,获取PDF版本2012201320142015201620172018来源:SEMI,中泰证券研究所来源:SEMI,中泰证券研究所n目前我国仍呈半导体设备自给率严重不足的局面。然而,虽然中国拥有着巨大的半导体设备市场,但国产化设备占比依然很低。根据电子专用设备协会共统计,2017年中国半导体设备制造商销售收入为88.96亿元,国产半导体设备在全球的市场占有率为2.5%,在中国大陆的市场占有率为16%。虽然较2016年,国产化半导体设备在中国市占率提升了5个百分点,实现较快速的增长,但是半导体设备自给率严重不足。图表248:中国半导体设备销售额(亿美元)图表249:中国半导体设备自给严重不足(亿美元)若出现排版错位,可加微信535600147,获取PDF版本120中国设备市场(亿美元)中国增速%35%49%75.929%64.643.74932%33.82517%12%113.360%中国设备市场(亿美元)国产设备收入(亿美元)国产化占比8075.918%若出现排版错位,可加微信535600147,获取PDF版本10080604050%40%30%20%7060504034302049448%5%6%64.611%16%16%14%12%10%8%6%若出现排版错位,可加微信535600147,获取PDF版本2010%00%101.72.63.7012.14%7.12%0%若出现排版错位,可加微信535600147,获取PDF版本201220132014201520162017201820132014201520162017来源:SEMI,中泰证券研究所来源:电子专用设备协会,中泰证券研究所现状:全球半导体设备市场高度垄断,突围道阻且长n国外企业深耕市场多年,格局基本形成。根据目前全球集成电路专用设备生产企业主要集中于欧美、日本、韩国和我国台湾地区等,以美国应用材料公司(AppliedMaterials)、荷兰阿斯麦(ASML)、美国泛林半导体(LamResearch)、日本东京电子(TokyoElectron)、美国科磊(KLA-Tencor)等为代表的国际知名企业起步较早,经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额。2016年全球半导体专用设备前10名制造商销售规模占全球市场的79,前20名销售占比87,前10名销售占比92,市若出现排版错位,可加微信535600147,获取PDF版本 场集中度高。图表250:巨头还是巨头,半导体设备格局形成2.0%3.4%4.1%7.8%28.2%17.0%18.5%19.0%1.7%2.7%3.1%7.8%26.9%19.4%17.7%AMATASMLLAMRTELKLACSREENHHTASMI20.6%AMATASMLLAMRTELKLACSREENHHTASMI20162017来源:中泰证券研究所根据财报及SEMI统计整理n晶圆制造设备细分市场基本上一家独大,多项设备Top3市占率超90%:集成电路装备业具有技术更新周期短带来的极强技术壁垒,市场垄断程度高带来的极大市场壁垒,以及客户间竞争合作带来的极高认可壁垒等特征,因此集成电路装备市场高度垄断,细分市场一家独大,甚至在多项核心设备市场,全球前三大占有率超过90%。例如光刻机市场ASML全球占比75.3%,加上日本的Nikon和Canon,前三大全球占比93.8%;PVD市场,应用材料(AMAT)全球占比84.9%,算上Evatec和Ulvac,前三大全球占比96.2%;刻蚀设备市场,泛林(LAM)全球占比52.7%,连同TEL和AMAT,前三大全球占比90.5%;氧化/扩散设备市场,Hitachi、TEL、ASM合计全球占比94.8%;CVD市场,应用材料(AMAT)全球占比29.6%,连同TEL和LAM,合计全球市场占比达70%。图表251:全球半导体设备细分市场基本一家独大(格子大小表示占比)全球半导体设备市场368.43亿美元光刻C/T沉积:81亿美元刻蚀/清洗/CMP:87亿美元IMP过程控制88亿美元20亿美元NTCVDTCVDPVDMOCVD硅刻蚀介质刻蚀CMP自动化单片清洗12亿美元44亿美元ASMLTELAMATTELAMATAxitronLAMTELAMATDNSDNSVarianKLAHKEVeccoAMATSEMESAMATLAMLAMAMATHHTHHTEharaLAMNikonDNSCanonTELCanonTELTELAMATTEL中国涉及的厂商上海微电子芯源北方华创中微半导沈阳拓荆北方华创北方华创体中微半导盛美半导盛美半导北方华创体体,华海体,北方清科华创中科信睿励来源:中泰证券研究所整理若出现排版错位,可加微信535600147,获取PDF版本 机遇:大陆迎来建厂热潮,国产设备布局完整有望收益n大陆掀起建厂热潮,国产化设备遇良机。根据SEMI预测,2017-2020年全球将建成投产62座晶圆厂,其中中国有26座,占总数的42%。2018年中国晶圆厂投产数量为13座,占到了扩产的50%。2017年中国晶圆厂的支出为69亿美元,2018年支出为117亿美元,同比增长70%,到了2020年晶圆厂的投资将达到180亿美元,年复合增长率CAGR为38%。晶圆厂大量资金的投入,为国产化设备提供良机,进一步打开市场空间。图表252:2017-2020中国投产的晶圆厂数图表253:中国半导体投资情况(亿美元)若出现排版错位,可加微信535600147,获取PDF版本201720182019202014121086420中国美国台湾东南亚欧洲日本韩国200180160140120100806040200中国半导体晶圆厂投资(亿美元)同比(%)100%80%60%40%20%0%-20%-40%-60%若出现排版错位,可加微信535600147,获取PDF版本来源:SEMI,中泰证券研究所来源:SEMI。中泰证券研究所图表254:国内晶圆厂扩产&新建情况若出现排版错位,可加微信535600147,获取PDF版本 公司地点投资计划产能产品始建时间投产时间万国半导体重庆一期$5亿2万片/月&封测500KK/月功率分立器件20172018二期$5亿5万片/月&封测1250KK/月功率分立器件20172018福建晋华泉州$53亿6万片/月DRAM20172018Q3兆易创新合肥180亿元12.5万片/月DRAM/FLASH20172019格罗方德成都一期$50亿2万片/月CMOS20172018年底二期$50亿6.5万片/月FD-SOI20172019Q4华力微电子上海387亿元4万片/月代工20162018Q2力晶合肥128亿元4万片/月代工20152019三星西安$89亿8万片/月3DNAND20172019中芯国际北京——11万片/月代工20162018上海675亿7万片/月代工20162019绍兴58.8亿未定特色工艺芯片未定未定宁波100亿未定特色工艺芯片未定未定天津——15万片/月代工20162017深圳20亿元4万片/月代工20162018德科码南京1502万片/月COMS20172018紫光集团成都$200亿未定代工2017未定南京$300亿10万片/月DRAM/FLASH2017未定台积电南京$30亿2万片/月代工20162018长江存储武汉$240亿30万片/月3DNAND20162018士兰微厦门220亿元8万片/月特色工艺芯片2017未定华虹宏力无锡$25亿3万片/月代工20172019来源:中泰证券研究所整理n半导体制造关键设备仍然依赖进口。2017年中国大陆进口半导体设备占比的前三名分别为化学气相沉积设备(CVD),等离子体干法刻蚀设备,引线建合机器。分别占比23%,18%,12%。其余进口量比较大的为氧化扩散炉,分布式光刻机和物理气相沉积设备(PVD)。若出现排版错位,可加微信535600147,获取PDF版本 图表255:2017年中国大陆进口半导体设备占比4%11%4%23%9%9%18%10%12%化学气相沉积设备等离子体干法刻蚀引线键合机氢化扩散炉分布重复光刻机物理气相沉积设备离子注入机化学机械抛光机其他5项设备来源:电子专用设备协会,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 n国内企业布局完整,几乎覆盖整个制造过程。目前国产12英寸28nm集成电路关键设备(除光刻机外)已经进入主流生产线实现量产。2016年中芯国际北京厂使用国产集成电路晶圆设备加工的12英寸正式产品晶圆突破1000万片次,标志着国产集成电路设备在市场化大生产中得到充分地验证。2017年中微半导体设备研制的7nm等离子体刻蚀机已经在国际顶尖的集成电路生产线上量产使用,达到了国际最先进的水平。同时在封测领域,12英寸晶圆先进封装、测试生产线设备(17种)已经实现国产化,生产设备国产化率可达到70%以上。图表256:部分国产化设备销售情况图表257:部分后道设备情况设备名称制造商销售量等离子干法刻蚀机中微半导体、北方华创>100腔化学气相沉积设备沈阳拓荆>30台物理气相沉积设备北方华创>20台立式氧化炉北方华创>10台离子注入机北京中科信微>5台湿法清洗设备北方华创、盛美半导体>60台化学机械抛光设备中国电子科技第45所、天津华海清科>5台设备名称制造商大视场:双面对准步进投影光刻机上海微电子单片晶圆喷胶机(57台)沈阳芯源微电子单片湿法去胶机盛美半导体高端封装(TSV)等离子刻蚀机(5台)中微半导体高端封装(TSV)物理气相沉积系统北方华创高密度倒装焊接设备北京中电科电子IC封装基板用曝光设备江苏影速光电技术300nm晶圆切割设备北京中电科电子来源:电子专用设备协会,中泰证券研究所来源:电子专用设备协会,中泰证券研究所图表258:目前国内厂商已经覆盖大部分芯片制造流程中的设备工序设备设备功能图例国外厂商国内厂商单晶炉熔融半导体材料,拉单晶,为后续半导体器件制造,提供单晶体的半导体晶坯。德国PVATePlaAG公司、日本Ferrotec公司、美国QUANTUMDESIGN公司、德国Gero公司、美国KAYEX公司晶盛机电、京运通、北京华创、北京京仪世纪等前端工序气相外延炉为气相外延生长提供特定的工艺环境,实现在单晶上,生长薄层晶体,为单晶沉底现功能化做基础准备。美国CVDEquipment公司、美国GT公司、法国Soitec公司、法国AS公司、美国ProtoFlex公司、美国KurtJ.Lesker公司、美国AppliedMaterials中电科四十八所、青岛赛瑞达、合肥科晶材料、北京金盛微纳、济南力冠电子氧化炉为半导体材料进行氧化处理,提供氧化氛围,实现半导体预期设计的氧化处理过程。英国Thermco公司、德国CentrothermthermalsolutionsGmbHCo.KG公司北方华创、青岛福润德、中电科四十八所、青岛旭光、中电科四十五所晶圆制造环节低压化学气相沉积系统含有反应剂等气体引入,在衬底表面发生化学反应生成薄膜。日本日立上海驰舰半导体、中电科四十八所、中电科四十五所、北京仪器厂、上海机械厂若出现排版错位,可加微信535600147,获取PDF版本 磁控溅射台通过磁场把靶原子或分子高速率溅射沉积在基片上形成薄膜。美国PVD公司、美国Vaportech公司、美国AMAT公司、荷兰Hauzer公司、英国Teer公司、瑞士Platit公司、瑞士Balzers公司、德国Cemecon公司。北京仪器厂、沈阳中科仪器、成都南光实业、中电科四十八所、科睿设备、上海机械厂光刻机在半导体基材上(硅片)表面匀胶,将掩模版上的图形转移光刻胶上,把器件或电路结构临时“复制”到硅片上。荷兰阿斯麦(ASML)公司、美国泛林半导体公司、日本尼康公司、日本Canon公司、美国ABM公司、德国德国SUSS公司、美国MYCRO公司中电科四十八所、中电科四十五所、上海机械厂、成都南光实业刻蚀机通过施加高频电压,离子高速撞击式样,实现化学反应刻蚀和物理撞击,实现半导体的加工成型。日本Evatech公司、美国NANOMASTER公司、新加坡REC公司、韩国JuSung公司、韩国TES公司。中微半导体、北京仪器厂、北方华创、成都南光实业、中电科四十八所离子注入机对半导体表面附近区域进行掺杂美国维利安半导体设备公司、美国CHA公司、美国AMAT公司北京仪器厂、中电科四十八所、成都南光实业、沈阳方基轻工机械、上海硅拓微电子晶片减薄机通过抛磨,把晶片厚度减薄日本DISCO公司、德国G&N公司、日本OKAMOTO公司、以色列Camtek公司。兰州兰新高科、深圳方达研磨设备、深圳金实力精密等封装环节晶圆划片机把晶圆,切割成小片的Die德国OEG公司、日本DISCO公司。中电科四十五所、北京科创源、沈阳仪器仪表所、汇盛电子、兰州兰新高科、大族激光等键合封装设备把半导体芯片上的Pad与管脚上的Pad,用导电金属线(金丝)链接起来。美国奥泰公司、德国TPT公司、奥地利奥地利FK公司、马来西亚友尼森(UNISEM)公司。中电科四十五所、北京创世杰、宇芯(成都)封测、深圳开玖自动化等测试机用于各类MOS管、三极管、二极管、IGBT等功率器件和各类电路的电参数性能测试。美国泰瑞达(Teradyne)、日本爱德万(Advantest)、美国安捷伦(Agilent)、美国科利登(Xcerra)、美国科休(Cohu)、日本爱普生(Epson)、台湾鸿劲科技(HonTech)、测试环节长川科技、北京华峰、上海中艺等分选机进行不同封装外型集成电路的自动分选若出现排版错位,可加微信535600147,获取PDF版本 通过探针与半导体器件中电科四十五所、北方华创、瑞柯仪器、华荣集团、深圳森美协尔科技的pad接触,进行电学德国Ingun公司、美国QA公司、探针台测试,检测半导体的性美国MicroXact公司、韩国能指标是否符合设计性Ecopia公司、韩国Leeno公司。能要求。来源:中泰证券研究所整理从工艺流程看设备机遇n芯片生产大体可分为硅片制造、芯片制造和封装测试三个流程,其中硅片制造和芯片制造两个环节具有极高技术壁垒:Ø硅片制造即将石英砂原料进行冶炼、提纯、拉单晶、切磨抛等工艺加工制得电子级硅片;Ø芯片制造可分为前道和后道工艺,随着制程发展目前工序数最多已经超过1300道,前道工艺主要是进行晶体管的制备,核心工艺包括外延、清洗、热处理氧化、光刻、刻蚀、离子注入以及退火等工艺;后道工艺主要是形成金属互连层,核心工艺包括光刻、气相沉积(CVD/PVD等)、机械研磨等工艺;图表259:芯片制造环节来源:中泰证券研究所整理若出现排版错位,可加微信535600147,获取PDF版本 光刻机:ASML一家独大,皇冠明珠难摘n光刻机——芯片制造皇冠明珠,成本上看占比接近35%。光刻(photolithography)就是将掩模版(光刻板)上的几何图形转移到覆盖在半导体衬底表面的对光辐射敏感的薄膜材料(光刻胶)上的过程。在半导体制造技术中,最为关键的是用于电路图形生成和复制的光刻技术,芯片在生产过程中需要进行20-30次的光刻。光刻技术在整个产品制造中是最重要的经济影响因子,光刻成本占据了整个制造成本的35%。图表260:不同光源对应的技术参数光源波长λ(nm)术语k1(分辨率系数)NA(数值孔径)技术节点汞灯436g线0.80.15-0.45>0.5um汞灯365i线0.60.35-0.600.5-0.35umKrF(激光)248DUV0.3-0.40.35-0.820.25-0.13umArF(激光)193193DUV0.3-0.40.60-0.9390-28nmF2(激光)157VUV0.2-0.40.85-0.93-等离子体13.5EUV0.740.25-0.7022-18nm分辨率公式:R=k1*λ/NA来源:中泰证券研究所整理n一般的光刻工艺要经历:底膜处理→涂胶→前烘→曝光→显影检验→刻蚀→去胶→最终检测等工艺流程。首先是要进行底膜处理,主要目的是增强硅衬底表面与光刻胶之间的黏附性。处理过后,就可以进行光刻胶的涂抹。然后将光刻掩模板与涂上光刻胶的衬底对准,进行曝光。然后,再用显影液将光刻胶溶解,,留下的光刻胶图形将在后续的刻蚀或离子注入中作为掩膜。当刻蚀完成后,再将光刻胶去除。图表261:光刻工艺基本流程光刻工艺流程涂胶掩膜光刻显影沉积刻蚀去胶去胶来源:中泰证券研究所整理nArF浸入式光刻技术维持摩尔定律由90nm发展至14nm工艺节点。摩尔定律是指:当价格不变时,集成电路上可容纳的元器件的数目,约每隔18-24个月便会增加一倍,性能也将提升一倍。2002年之后,浸入式光刻技术(ImmersionLithograpy)迅速成为光刻技术的新宠。在传统的光刻技术中,镜头与光刻胶之间的介质是空气,而所谓浸入式光刻技术是将空气介质换成液体,利用光通过液体介质后波长缩短来提高分辨若出现排版错位,可加微信535600147,获取PDF版本 率。尤其是ArF193nm浸入式光刻机的出现,使得半导体制程从90nm一直延伸发展至14nm。图表262:193nm浸入式光刻机的生产和使用年份厂商型号NA进入液折射率光刻尺寸(nm)使用2004ASMLTwinScanAt:1150i0.751.4490IBM2004ASMLTwinScanAt:1150i0.751.4490台积电2004ASMLTwinScanAt:1150i0.851.4465台积电/IMEC2005Sematech&ExitechMs-193i1.31.4470/452005NikonS6091.071.4455/452005JSR1.642006ASMLTwinScanXT:1700Fi1.21.44452006NikonS610C1.31.44452007CanonFPA-7000AS71.351.44452008ASMLTwinScanXT:1950i1.351.4432Intel2009ASMLTwinScanNXT:1950i1.351.4432Intel/台积电2010NikonS620D1.351.4432Intel/三星2011NikonS621D1.351.4422Intel2012NikonS622D1.351.4420来源:中泰证券研究所整理n节点延伸到7nm,193nm浸入式光刻开始力不从心。193nm浸入式光刻(NA=1.35)单步曝光工艺,K1可以缩减到0.3,能够实现32nm的分辨率。而通过两次图形化工艺和分辨率增强技术,K1可以进一步缩小至0.15,从而分辨率接近22nm。和单次曝光相比,多重曝光(MultiplePatterning,MP)可以达到更高的制程。但使用多重曝光会带来两大问题:一、光刻+掩膜的成本上升,从而会影响良率;二、工艺的循环周期延长,多重曝光不仅增加光刻次数,还增加刻蚀(ETCH)和机械研磨(CMP)次数。目前来说,使用浸入式和多重曝光的193nmArF光刻机可以将工艺缩小至7nm,但是光刻次数也到达了3~4次,生产十分困难。极限计划困难图表263:浸入式光刻技术已经不再适用更小制程图表264:EUV可以显著减少芯片制造步骤116~83~432114+22+221来源:ASML,中泰证券研究所来源:ASML,中泰证券研究所nEUV可以有效降低成本,延续摩尔定律。随着芯片制程的不断缩小,原若出现排版错位,可加微信535600147,获取PDF版本 有的193nmArF激光已不再适用。过长波长的激光用来制造7nm的芯片,会增加制造过程的复杂性和成本。而EUV则可以用更短的时间和更简便的方法,相较于ArF激光多次曝光,EUV一次曝光就可以刻出相同的图形这样大大缩减了芯片制造步骤。根据ASML统计,相比于ArFi光刻,EUV可以使5nm制程的成本降低30%,产率由原来的50%提升至80%。图表265:相比传统光刻,EUV有效降低成本多重曝光无法延续摩尔定律来源:ASML,中泰证券研究所n光刻设备ASML高度垄断,2018-2020年市场有望由88亿美元成长至115亿美元。根据Technavio研究报告预测,2017年光刻机市场为88亿美元,同比增长13%。预计到了2020年,市场将达到115亿美元,CAGR为9.18%。在LOGIC领域,三星和台积电均在2018年进入7nm工艺,预计今年会量产。台积电规划2019年7+nm将导入EUV,2020年5nm工艺将正式导入并使用EUV。三星则在今年5月23号制造出了全球第一个采用EUV光刻机的7nmLPP制程芯片,并准备2018年下半年投产。随着EUV在先进制程应用上的普及,预计光刻机市场将会有更快速地增长。n光刻机市场高度垄断,ASML难以撼动。由于光刻机技术难度巨大、高投入、高风险并代表着世界超精密设备的最高技术水平,在激烈的国际竞争中,对光刻设备制造商的要求越来越高。目前全球光刻机市场,已经被ASML、Nikon、Canon三家巨头所垄断,其中ASML更是占据了全球市场的80%以上的份额,具有不可撼动的地位。图表266:全球光刻机设备市场(亿美元)图表267:全球光刻机设备市场份额若出现排版错位,可加微信535600147,获取PDF版本 光刻设备市场(亿美元)增速(%)若出现排版错位,可加微信535600147,获取PDF版本140120100806040200201420152016201720182019202014%12%10%8%6%4%2%0%若出现排版错位,可加微信535600147,获取PDF版本来源:Technavio,中泰证券研究所来源:Technavio,中泰证券研究所刻蚀设备:制程发展下刻蚀需求持续提升,重点关注中微半导体n2022年全球刻蚀设备市场50亿美元,CAGR为3.77%。根据GlobalInformation研究报告统计,2017年全球刻蚀设备市场为42亿美元,其中硅基刻蚀设备市场为20.4亿美元,介质刻蚀设备市场为21.3亿美元。预计到2020年市场将达到50亿美元,年复合增长率CAGR为3.77%。n全球刻蚀设备主要以LAM和TEL为主。2017年LAM(LamResearch)刻蚀设备营收为18亿美元,占全球市场份额的43%。TEL(TokyoElectron)刻蚀设备收入为14亿美元,占全球市场份额的34%。但这两者的领域各有不同,LAM主要收入来源是硅基刻蚀设备,而TEL主要是依靠介质刻蚀设备。AMAT设备收入为4.8亿美元,占比12%,HHT(HitachiHigh-Technologies)收入为3.6亿美元,占比9%。图表268:全球刻蚀设备市场预测(百万美元)图表269:2017全球刻蚀设备市场的市占率(%)若出现排版错位,可加微信535600147,获取PDF版本6000500040003000200010002%9%12%43%34%LAMTELAMATHHT其他若出现排版错位,可加微信535600147,获取PDF版本02016201720182019202020212022来源:GlobalInformation,中泰证券研究所来源:GlobalInformation,中泰证券研究所n半导体景气度提升带动刻蚀设备巨头营收增加。2017年受半导体行业景气度的影响,下游汽车电子、消费电子对芯片需求提升,刻蚀设备各大厂商营收均有增加。2017年LAM营收80.1亿美元,同比增长36%。东京电子(TEL)营收74亿美元,同比增长34%。AMAT营收145.4亿美元,同比增长34.3%。HHT营收60亿美元,同比增长13.7%。若出现排版错位,可加微信535600147,获取PDF版本 图表270:全球刻蚀设备巨头的营收(百万美元)图表271:全球刻蚀设备巨头的毛利率(%)若出现排版错位,可加微信535600147,获取PDF版本1600014000120001000080006000400020000LAMTELAMATHHT201120122013201420152016201750%45%40%35%30%25%20%15%10%5%0%LAMTELAMATHHT2011201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n3DNAND、多重曝光提升刻蚀需求。刻蚀通常与光刻相结合,共同刻出芯片电路。在芯片制造过程中,常常需要在硅片表面做出极微细尺寸的图形,而这些微细图形最主要的形成方式,是使用刻蚀技术将光刻技术所产生的光刻胶图形,包括线、面和孔洞,准确无误地转印到光刻胶底下的材质上,以形成整个芯片所应有的复杂结构。图表272:刻蚀的步骤来源:Hitachi,中泰证券研究所n刻蚀技术可以分为湿法刻蚀(WetEtching)和干法刻蚀(DryEtching)。早期刻蚀技术是采用湿法刻蚀的方法,即利用特定溶液与薄膜之间所进行的化学反应来去除被刻蚀部分而达到刻蚀的目的。干法刻蚀又可以分为物理刻蚀和化学刻蚀。物理刻蚀是利用辉光放电将气体(如Ar)电离成带正电的例子,再利用偏压将粒子加速,溅击在被刻蚀物表面而将刻蚀物的原子击出。化学刻蚀是利用等离子体将刻蚀气体电离形成带电离若出现排版错位,可加微信535600147,获取PDF版本 子,扩散到被刻蚀薄膜表面与被刻蚀薄膜表面原子反应生产具有挥发性的产物,并被真空设备抽离。目前芯片制造大多采用的是物理和化学相结合的方法,即反应离子刻蚀(ReactiveIonEtching,RIE)。图表273:干法刻蚀和湿法刻蚀比较干法刻蚀湿法刻蚀原理等离子溅射或发生化学反应溶液与薄膜的化学反应工作介质气体液体处理材料Si、SiO2、Al、WSi、SiO2、Si3N4、Al、Cr优点保真度高,图形分辨率高,清洁性好,无大量废液工艺、设备简单,成本低,产能高、刻蚀速率高缺点设备复杂,刻蚀速率不高。,选择性不如湿法使得光刻胶边缘下面的薄膜也会被刻蚀,线条宽度难以控制效果来源:中泰证券研究所整理n2DNAND工艺已经逼近极限。2DNAND晶体管是平面排布的,为了提高容量、降低成本,NAND的工艺不断地进步,从早期的50nm一路演进到目前的15/16nm。虽然先进工艺带来更大的容量,但是随着容量的提升,工艺的复杂程度以及成本都在急剧地上升。3DNAND相比于2DNAND,它是立体式堆叠的,通过垂直半导体通道的方式连接,多层环绕式栅极(GAA)结构形成的多电栅极存储器单元晶体管。图表274:3DNAND与2DNAND的结构图表275:各大厂商纷纷开始生产3DNAND来源:NVMdurance,中泰证券研究所来源:TECHINSIGHT,中泰证券研究所n3DNAND性价更好,将会取代2DNAND,2022年市场390亿美元。相比于2DNAND,3DNAND不仅可以增加单位面积的存储容量,还可以有效的降低单位成本。根据美光报告显示,相比于16nm的2DNAND,美光32层3DNAND的单位成本有了30%的降低,预计64层3D的单位成本将会进一步降低30%。根据西部数据预测,2020年3DNAND占比将达到90%以上,预计2022年全球市场规模将达到390亿美元,年复合增长率为33.7%。若出现排版错位,可加微信535600147,获取PDF版本 图表276:3DNAND单位成本更低图表277:3DNAND将取代2DNAND2DNAND占比3DNAND占比100%90%80%70%60%50%40%30%20%10%0%2014201520162017201820192020来源:MU,中泰证券研究所来源:WesternDigital,中泰证券研究所n3DNAND的制造更为复杂,需要多次刻蚀,工艺设备要求也越高。相比于平面NAND闪存工艺,3DNAND由于器件结构发生巨大变化,相应的刻蚀工艺也与以往大不相同。最主要的新增特色工艺都是围绕着3D结构制备,包括:(1)台阶刻蚀:为了后续单独连接每一层控制栅层,3DNAND有多少层就需要进行多少次光刻、刻蚀。(2):沟道通孔刻蚀:需要一次性刻蚀穿所有的沉积薄膜层,对刻蚀技术要求高,普遍采用的是感应耦合等离子刻蚀(ICP)设备。(3)切口刻蚀:与沟道通孔刻蚀相同,但是通常采用单独刻蚀机完成。(4)接触孔刻蚀:同样属于深宽比刻蚀工艺,但要求更高,需要更高的刻蚀停止层的选择比。一般采用电容耦合等离子刻蚀(CCP)设备。图表278:3DNAND的制造更为复杂沉积沟道通孔刻蚀台阶刻蚀切口刻蚀沉积接触孔刻蚀来源:SemiWiki,中泰证券研究所n此外在Logic和DRAM领域,多重曝光也对刻蚀有着很大的需求。前面我们讲了EUV短波长使得在7nm以下的节点可以一次性曝光成型,节省了大量的成本。但是在10-16nm节点上,由于EUV价格昂贵和设备不宜购买,193nm浸入式光刻依然是最好的选择。这就使得多重曝光带来的刻蚀步骤增加。若出现排版错位,可加微信535600147,获取PDF版本 图表279:多重曝光对刻蚀等有很大的需求来源:ASML,中泰证券研究所n介质刻蚀领域重点关注中微半导体国产化突破!中微半导体经过13年的技术自主创新,已经开发了从65nm到7nm器件的等离子体刻蚀设备,设备可以涵盖大部分Logic器件和Memory器件的介质刻蚀,。目前公司的多项技术和设备已经优于国外巨头,CCP刻蚀机以及TSV硅通孔/MEMS刻蚀机向AMAT及LAM看齐。随着半导体行业景气度提升和设备国产化的双重驱动,作为中国半导体设备布局的重要一环,公司有望发挥更大的作用。图表280:中微半导体产品世界领先图表281:产品已全面进入国际领先的芯片生产线来源:中微官网,中泰证券研究所来源:中微半导体,中泰证券研究所图表282:中微刻蚀设备已经可以满足大部分logicdevice介质刻蚀图表283:中微刻蚀设备以及可以满足大部分memorydevice介质刻蚀若出现排版错位,可加微信535600147,获取PDF版本 来源:中微半导体,中泰证券研究所来源:中微半导体,中泰证券研究所n两条腿走路,除介质刻蚀设备外,中微半导体持续深耕MOCVD设备多年,目前在LED领域已经形成大批量出货!除龙头三安光电外,2018年以来通过公开信息了解到多家二三线LED芯片厂已经开始大批量采购中微MOCVD设备,不完全统计包括兆驰股份2018年2月公告向中微采购100台AMECPrismoA7MOCVD设备,中微4月22日在南昌与兆驰半导体、乾照光电、聚灿光电、德豪润达、士兰明芯等企业签订了总数超过两百台的MOCVD设备销售合同。同时公司积极应战Veeco专利诉讼、阶段性和解彰显公司强劲自主研发实力!图表284:中微半导体销售额稳定增长(百万美元)图表285:中微刻蚀机和MOCVD销售累计台数来源:中微半导体官网,中泰证券研究所来源:中微半导体官网,中泰证券研究所沉积设备:AMAT占主导地位n随着薄膜厚度越来越薄,目前制备工艺主要采用CVD和PVD。在半导体制造中,随着工艺的提升,薄膜的厚度越来越薄,最薄已经可以达到几个原子层的厚度。按照材料薄膜可以分为半导体薄膜、介质薄膜和金属薄膜,其中半导体薄膜通常采用外延工艺制备,而介质薄膜主要采用CVD制备,金属薄膜采用PVD制备。CVD(化学气相沉积)主要是将气态源材料通入反应器中,通过化学反应进行薄膜制备。PVD(物理气相沉积)是将原子或分子由源气转移到衬底表面形成薄膜。若出现排版错位,可加微信535600147,获取PDF版本 图表286:CVD与PVD的设备图表287:PVD与CVD制备性能比较来源:gadget,中泰证券研究所来源:ICkonwledge,中泰证券研究所图表288:全球薄膜制备市场(亿美元)4003603502501501255002016201720182019202020212022202320242025来源:AMAT财报,中泰证券研究所nAMAT占据全球沉积市场主导地位。根据Variant报告统计2017年全球薄膜制备市场为125亿美元,其中沉积设备市场为80亿美元,CVD设备市场为45.6亿美元,PVD设备市场为31亿美元。随着下游需求的提升,汽车电子、太阳能的兴起,预计到了2025年全球薄膜制备市场将达到360亿美元,年复合增长率CAGR为14.1%。300200100n对于沉积工艺设备来说,AMAT可谓是一家独大。2017年CVD设备营收为13亿美元,市场占比59.9%。PVD设备收入为12.5亿美元,市场占比为76%。AMAT设备更是一应俱全,覆盖ALD、CVD、PVD、ECD多种工艺。图表289:全球CVD设备市场占比图表290:全球PVD设备市场占比若出现排版错位,可加微信535600147,获取PDF版本 31.1%59.9%6.8%8.0%76.0%6.0%2.5%4.5%4.7%若出现排版错位,可加微信535600147,获取PDF版本AMATLAMTELASMLAMATOerlikonCanonMVS其他若出现排版错位,可加微信535600147,获取PDF版本来源:SEMI,中泰证券研究所来源:SEMI,中泰证券研究所清洗与检测设备:贯穿芯片制造,不可忽视的重要环节n清洗次数占总步骤三分之一,设备市场接近54亿美元,几乎贯穿整个半导体制造。半导体的清洗几乎贯穿整个半导体的流程。从硅片制造时需要对抛光好的硅晶圆进行清洗,去除表面的污染物,到芯片制备中去除光刻胶、湿法刻蚀、CVD等,再到最后的材料质检。每一个环节都需要清洗以保证下一步不受杂质的干扰,保持产品的良率。同时随着芯片制程的不断缩小,所需要的进行的清洗次数也就越来越多。据统计,清洗工艺的次数占到了在整个芯片制造工艺步骤的三分之一,是芯片制造的重要环节。n根据ACM(盛美半导体)评估,假设一条月产能在10万片的DRAM产线,良率下降1%,将会导致企业一年3000-5000万美元的损失。所以企业为了提高良率,必然会采用更多的清洗次数。图表291:DRAM不同节点的清洗次数图表292:LOGIC不同节点的清洗次数若出现排版错位,可加微信535600147,获取PDF版本APMAg/FromBEOLBSBCMPCrit80706050403020100302620181512160140120100806040200AnhHFAPMAg/FromBEOLBSBCMPCritOpticalEUV2820161077+5若出现排版错位,可加微信535600147,获取PDF版本来源:ICkonwladge,中泰证券研究所来源:ICkonwladge,中泰证券研究所图表293:清洗不充分时,芯片制备出现的问题若出现排版错位,可加微信535600147,获取PDF版本 缝隙残留划痕变窄凸起连结来源:中泰证券研究所n2020年清洗设备市场54亿美元,CAGR=7.7%。根据Transparency的市场统计,2016年全球清洗设备市场40.2亿美元,其中单片清洗的市场为18亿美元。SCREENGroup、SEMES、LAM、TEL占据单片清洗市场份额的98.5%。随着制程的不断缩小和下游对芯片的需求不断增加,预计到了2020年晶圆清洗设备市场将达到54.2亿美元,年复合增长率为7.7%。图表294:全球半导体清洗市场(亿美元)图表295:单片清洗的市场占比若出现排版错位,可加微信535600147,获取PDF版本半导体清洗市场(亿美元)增速(%)609%8%507%406%5%304%203%2%101%00%20152016201720182019202010.1%18.5%50.9%19.0%1.50%SCREENSEMESLAMTEL其他若出现排版错位,可加微信535600147,获取PDF版本来源:Transparency,中泰证券研究所来源:Transparency,中泰证券研究所n清洗设备龙头SCREEN,占据半壁江山。SCREEN2018年财年营收3394亿日元,同比增长13%,毛利率为31%。在清洗设备市场中,SCREEN当之无愧地占据龙头地位。2016年SCREEN单片清洗设备营收为8.5亿美元,占全球总市场的53%,批量清洗设备营收为2.7亿美元,占总市场的54%。图表296:全球清洗设备市场及SCREEN市占率图表297:SCREEN营收及毛利率情况(十亿日元)若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本单片清洗设备(亿美元)批量清洗设备(亿美元)单片市占率(%)批量市占率(%)20100%1890%1680%1470%1260%1050%840%630%420%210%00%201120122013201420152016400350300250200150100500营收(十亿日元)同比(%)毛利率(%)40%30%20%10%0%-10%-20%-30%20112012201320142015201620172018若出现排版错位,可加微信535600147,获取PDF版本来源:SCREEN官网,中泰证券研究所来源:彭博,中泰证券研究所n检测是提高良率的关键,对制造工艺的检测可以及时发现问题,提高良率。芯片工艺步骤繁多,相互之间影响负载,很难从最后测试结果准确的分析得出影响产品性能与合格率的具体原因。所以在芯片生产过程中应进行工艺检测,通过工艺监控及时发现问题并解决问题,从而制造出参数均匀、成品率高、低成本、高可靠的芯片。工艺检测就是借助一整套检测技术和专用设备,监控整个生产过程,在工艺过程中,连续提取工艺参数,在工艺结束后对工艺流程进行评估。图表298:检测项目涵盖了半导体制造所有的步骤工序检测项目常用检测方法和设备陪片抛光片电阻率四探针、扩展电阻抛光片质量紫外灯、显微镜、化学腐蚀、热氧化层错法√外延表面紫外灯、显微镜电阻率、杂质分布三探针、四探针、扩展电阻、C-V法√厚度层错法、干涉法、红外反射法√埋层漂移干涉法、显微镜√热氧化表面紫外灯厚度、折射率椭圆仪、反射仪、干涉法、分光光度计√表面电荷C-V法√场氧后“白带”效应显微镜三层腐蚀后场氧厚度分光光度计扩散薄层电阻四探针√结深磨角法、滚槽法√杂质分布扩展电阻、C-V法、阳极氧化剥层法√结的漏电、击穿电压电学测试√若出现排版错位,可加微信535600147,获取PDF版本 离子注入反型掺杂层同扩散√载流子分布扩展电阻、C-V法√光刻光刻胶厚度分光光度计、机械探针扫描√硅片平整度平整度测试仪CD尺寸目镜测微仪、线宽测试仪√接触孔腐蚀情况分光光度计、液体探针各种薄膜腐蚀速率相应的干法和湿法腐蚀√多晶硅表面紫外灯、显微镜厚度分光光度计、反射仪√CVD厚度、折射率同热氧化√缺陷、漏电、击穿电压电测试、各种针孔检测方法√磷含量扩散后测薄层电阻、查曲线√回流效果扫描电镜腐蚀速率同光刻√PVD表面紫外灯、显微镜厚度机械探针扫描、干涉法√来源:集成电路制造技术,中泰证券研究所n检测设备市场高度垄断,2022年市场有望达80亿美元。2017年全球半导体工艺控制检测设备市场为44亿美元,同比增长22%。其中KLA检测设备收入为24亿美元,占全球总收入的55%。AMAT检测设备收入为5.4亿美元,占比12.3%。Hitach收入5.1亿美元,占比11.7%。这三家就占据了全球份额的79%。根据MarketResearchFuture预测,2022年半导体检测设备市场将达到80亿美元,年复合增长率为12%。图表299:全球半导体检测设备市场(亿美元)图表300:全球半导体检测设备市占率半导体检测设备市场(亿美元)增速(%)若出现排版错位,可加微信535600147,获取PDF版本90807060504030201002015201620172018201920202021202225%20%15%10%5%0%KLAAMAT17.0%4.1%11.7%54.9%12.3%HitachNanometrics其他若出现排版错位,可加微信535600147,获取PDF版本来源:MarketResearchFuture,中泰证券研究所来源:MarketResearchFuture,中泰证券研究所n巨头KLA受晶圆设备投资影响,营收增加17%。2017年KLA营收34.8亿美元,同比增加17%。主要原因是2017年全球晶圆厂迎来建厂热潮,同时3DNAND和DRAM制程的提升,带动了WFE投资的增加,多种因素共同推动半导体制造的检测需求上升。KLA全球第一家开发了EUV光刻光罩检测技术,能够有效的解决EUV光源技术中存在的缺陷。随着EUV光刻技术在先进制程上的普及,KLA有望进一步获益。2017年若出现排版错位,可加微信535600147,获取PDF版本 KLA毛利率为63%,较去年增加2个百分点。高毛利率也表现了KLA具有很高的市场竞争力。图表301:KLA营收及毛利率(亿美元)图表302:对电路缺陷进行检测营收(亿美元)营收同比(%)毛利率(%)若出现排版错位,可加微信535600147,获取PDF版本4035302520151050201120122013201420152016201770%60%50%40%30%20%10%0%-10%-20%若出现排版错位,可加微信535600147,获取PDF版本来源:KLA年报,中泰证券研究所来源:KLA年报,中泰证券研究所北方华创:国产设备平台型企业,产业化持续推进!n目前公司在电子工艺装备方面形成半导体装备、真空装备和新能源锂电装备三大业务线,半导体设备业务包括刻蚀、薄膜沉积、清洗设备,累计承担02科技重大专项设备类项目(除光刻机外)数量占比过半。公司产品在多晶硅刻蚀、PVD、单片退火、立体氧化炉及清洗设备以及达到28nm制程要求,17年累计流片量大幅提升!且以上部分细分领域14nm目前已经开始验证。图表303:北方华创多数设备已经达到28nm制程来源:公司报告,中泰证券研究所n公司18Q1财报靓丽,Q2指引超预期。公司一季报显示18Q1单季度实现营收5.42亿元,同比增长30.85%,实现归母净利润1536万元,同比增长857.58%。我们认为尽管超高增速主要由于去年一季度基数较小,但从营收增长角度我们仍然可以看到公司良好成长性。若出现排版错位,可加微信535600147,获取PDF版本 图表304:北方华创营收分类占比(亿元)图表305:公司4大板块的主要销售产品主营业务主要产品若出现排版错位,可加微信535600147,获取PDF版本1.00,5%0.25,1%2.01,9%7.63,34%11.34,51%半导体设备电子元件真空设备锂电设备半导体设备真空设备Etch、PVD、LPCVD、APCVD、PECVD、氧化炉、扩散炉、清洗机、热处理装备、液晶面板制造装备、气体质量流量控制器等系列化半导体关键制造装备及核心零部件真空热处理设备、气氛保护热处理设备、连续式热处理设备和晶体生长设备若出现排版错位,可加微信535600147,获取PDF版本其他业务搅拌机系列、涂布机系列、分切机系列、若出现排版错位,可加微信535600147,获取PDF版本锂电设备电子元器件辊压机系列等高精密电阻器、电容器、石英晶体器件和模块电源若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n同时公司给出2018年1-6月经营指引,展望乐观超预期。根据公告,预计2018年1-6月归母净利润同比增长100%-150%,对应1.06-1.32亿元,即Q2实现归母净利润9064万元-1.17亿元,较17Q2同比增长71.7%-121%,继续维持跨越式增长!图表306:北方华创季度营收情况(百万)图表307:北方华创季度归母净利润情况(百万)来源:wind,中泰证券研究所来源:wind,中泰证券研究所n公司利润率显著改善。18Q1公司毛利率/净利率分别为41.9%/3.4%,其中毛利率环比提升10.3pct、同比提升8.6pct,净利率亦较去年同期提升1.8pct。我们认为利润率改善主要来自订单及生产规模的提升。图表308:北方华创利润率情况若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所精测电子:业绩持续高增速,从面板到半导体步步为营n精测电子是国内平面显示信号测试领域的龙头企业,技术达国际领先水平,主要从事平板显示检测系统的研发、生产与销售,主营产品包括模组检测系统、面板检测系统、OLED检测系统、AOI光学检测系统和平板显示自动化设备。产品得到京东方、三星、LG、夏普等优质客户批量应用,并大量供给A客户用于IPhone和IPad显示测试。n公司业绩持续高增长。2017年营业收入9.0亿,同比增速高达71%,净利润1.7亿元,同比增长88%。公司2013年营收仅1.4亿元,4年年复合增长率高达57.8%,业绩持续高增长。图表309:精测电子营收和增速图表310:精测电子净利润和增速若出现排版错位,可加微信535600147,获取PDF版本10987654321.410营业收入/亿元YOY76%64%5.24.22.525%9.090%80%71%70%60%50%40%30%20%10%0%1.81.61.41.21.00.80.60.40.20.00.50.5净利润/亿元YOY0.90.850%16%4%1.7100%88%90%80%70%60%50%40%30%20%10%0%若出现排版错位,可加微信535600147,获取PDF版本2013201420152016201720132014201520162017来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所nAOI光学检测占比最大,毛利率稍有下滑,净利率提升。从收入结构来看,2017年AOI光学检测系统收入4.06亿元,同比增长89.12%,占比45.31%,首次超过模组检测系统。模组检测系统收入3.32亿元,同比增长45.59%。平板显示自动化设备收入9,035.09万元,同比增长64.20%。2017年公司毛利率46.7%,同比下降7.4个百分点,净利率18.9%,同比增加1.7个百分点。若出现排版错位,可加微信535600147,获取PDF版本 图表311:2017公司收入结构图表312:公司毛利率和净利率情况若出现排版错位,可加微信535600147,获取PDF版本AOI光学检测系统模组检测系统平板显示自动化设备面板检测系统OLED检测系统其他3.9%2.5%1.1%10.1%45.3%37.1%70.0%60.0%50.0%40.0%30.0%20.0%10.0%0.0%毛利率净利率58.1%55.9%57.6%54.1%46.7%34.4%20.2%18.5%17.2%18.9%20132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n二季度延续一季度业绩高增长,面板红利龙头充分受益。公司6月26日发布2018年H1业绩预告,上半年预计盈利1.1-1.25亿元,同比增长110%-138%,符合此前预期,拆分下来Q2单季度业绩约为0.61-0.76亿,同比增长97%-145%,环比增长24.5%-55%,公司业绩继续保持强劲增速主要是公司作为面板领域检测的稀缺龙头,紧抓下游面板投资增长的景气机遇和红利,依托在平板显示检测领域“光、机、电、算、软”等核心技术的整合能力和跟随下游大客户的扩产不断扩大规模,护城河深厚。n面板检测从中后到前端市场规模增加3倍。2017年京东方、华星光电等本土面板厂商开始大规模投资,其中新增13条LCD面板生产线总投资额近4477亿元左右,而设备厂商将最先受益。公司目前主要服务京东方(2017年第一大客户61%)等大客户,订单主要集中在Module段和Cell段,公司定位面板检测龙头,从Module、Cell制程向Array前道制程开拓是技术和客户协同使然,且前道设备若实现突破将打开3倍市场空间。从公司Q2来看陆续中标京东方/华星光电宏观缺陷检查机(CELL和Array都能用到)、手动模组测试机、模组检查机等中前道市场逐步打开,下半年有望继续深挖面板前道检测工序,静待产品稳步推进。nOLED检测设备进入替代元年,成长才开始。我们统计2018年起国内OLED产线预计投产1045亿元左右,所需OLED检测设备需求占比12%约125亿元。公司具备LCD-AOI等检测的技术和服务经验,技术同源将较快的应用到OLED检测上,公司2017年实现OLED检测0.22亿,已实现从百万到千万级跨越,但占有率仍不到1%,公司接下来将重点在OLED检测设备中的光学补偿Demura和Gamma调节等检测设备打开OLED领域的规模化成长。n再次加码半导体检测设备抢占百亿蛋糕。公司6月19日公告拟以自有资金出资1亿元在上海设立全资子公司,主要经营半导体测试设备,这是继公司1月携手IT&T设立半导体检测公司后的又一次加码。半导体设备检测主要涉及膜厚检测、线宽检测、电子束检测、有图形或者无图形的缺陷检测等,根据半导体行业资讯数据,受晶圆厂拉动,2018年半导体设备需求爆发市场规模700亿元,其中检测设备占比15%-20%约若出现排版错位,可加微信535600147,获取PDF版本 为120亿元,公司此次在上海建立半导体测试设备子公司,后续将通过构建研发团队及海外并购引入国产化等手段,我们看好公司从面板检测设备龙头到半导体检测设备的进军,业绩空间将进一步打开。至纯科技:国内高纯工艺系统设备龙头n至纯科技为高纯工艺设备系统的提供者。至纯科技业务为电子、生物医药等行业的先进制造企业提供配套的高纯工艺系统与高纯工艺设备,主要以控制生产工艺中的不纯物为核心,涉及的行业主要包括泛半导体产业(集成电路、MEMS、面板显示、光伏、LED)、光纤、生物制药和食品饮料等行业。图表313:至纯科技的主要产品产品设备应用图片从气源到使用点,再到尾气处理的每高纯特气输一个环节,实现安全稳定、无污染、送系统满足工艺制程要求的供应若出现排版错位,可加微信535600147,获取PDF版本系统集成方案高纯气化设备湿法工艺机台高纯化学品输送系统特气供应设备全自动集中高纯供液柜槽式湿法设备单片式湿法设备将存放于槽车的原液,经过槽车填充柜输送到储存罐。再由供液设备将高纯化学品输送到日用罐。工艺介质回从气到使用点,再到尾气(液)处理收及处理系的每一个环节,在满足工艺同时深度统关注减排和环保的问题。存放腐蚀性,毒性,可燃性,自然性气体。配置减压装置,自动切换,自动吹扫等自动安全装置。化学品集中供液设备,腐蚀性,毒性,可燃性等危险液态化学品的放置与管理设备。槽式设备工艺也需要依据工艺需求来选择合适的清洗设备;并且兼顾降低晶圆清洗成本和兼顾环境保护;用于去胶及去胶后清洗、炉管及长膜前清洗、氧化层/氮化硅蚀刻、铜/钛金属蚀刻等晶圆清洗。若出现排版错位,可加微信535600147,获取PDF版本来源:公司官网,中泰证券研究所整理n公司营收增长加快,净利润稳步增长。2017年,至纯科技营收3.69亿元,同比增长40%,归母净利润为4899万元,同比增长9%。营收增长最主要的原因是受半导体业务的增加引起的。2017年半导体营收2.1亿元,占总营收的57%,同比增长60%。图表314:至纯科技营收与净利润情况(亿元)图表315:至纯科技2017年营收分布若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本4.003.503.002.502.001.501.000.500.00营业收入(亿元)归母净利润(亿元)营收同比净利润同比20112012201320142015201620171.61.41.21.00.80.60.40.20.0-0.2-0.4-0.6光伏医药LED12%16%5%10%57%半导体其他若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n业务转移半导体,毛利率有所上升。2017年,公司毛利率的39.02%,较去年增加1.29个百分点。其中半导体业务毛利率为39.93%,较去年同期增加3.86个百分点。除了半导体业务的毛利率增长之外,其余业务的毛利率均有所下降:医药业务毛利率为35.71%,较去年减少3.10个百分点,光伏业务毛利率为33.64%,较去年减少3.73个百分点,LED业务毛利率为41.38%,较去年减少1.39个百分点。图表316:至纯科技毛利率及净利率图表317:至纯科技分产品毛利率情况若出现排版错位,可加微信535600147,获取PDF版本45%40%35%30%25%20%15%10%5%0%毛利率(%)净利润(%)2013201420152016201750%45%40%35%30%25%20%15%10%5%0%光伏医药LED半导体其他20132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n公司换挡半导体,增长实现新旧动能转换。公司早期,在2011-2012年,应收占比最大的是光伏业务,但随着下游光伏行业遭遇产能过剩,光伏业务开始缩小。2013-2015年,医药领域的营收开始成为公司的增长点,占比均在40%以上。到了2016年,公司业务开始转移到半导体。2016年半导体营收为1.31亿元,同比增长554%,成功的实现新旧动能转换。图表318:公司分业务营收情况(亿元)若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本光伏为主要驱动力医药为主要驱动力3.53.02.52.01.51.00.50.020112012201320142015201620174.0光伏医药LED半导体其他增长换挡到半导体若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所整理长川科技:国内集成电路测试设备领先者n长川科技为国内集成电路测试设备首家上市公司,细分领域龙头。公司主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备,集成电路测试设备主要包括测试机、分选机和探针台等,目前公司主要产品包括测试机和分选机。n公司生产的测试机包括大功率测试机(CTT系列)、模拟/数模混合测试机(CTA系列)等;分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q系列)、平移式分选机(C6、C7R系列)等。目前,公司生产的集成电路测试机和分选机产品已获得长电科技、华天科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业的使用和认可。图表319:公司主要产品包括测试机和分选机测试机分选机产品类别模拟/数模混合测试机重力下滑式分选机大功率测试机平移式分选机图示若出现排版错位,可加微信535600147,获取PDF版本 应用领域用于各类MOS管、三极管、二极管、IGBT等功率器件的电参数性能测试。用于各类模拟集成电路(运放、功放、电源管理、驱动电路等)和数模混合类集成电路(数字IC、AD/DA等)的电参数性能测试。采取管到管或管到卷带进出料方式,适用SOP/TSSOP/SSOP/HSOP/QSOP/DIP/TO等封装外型集成电路的自动分选。采取盘到盘或盘到卷带进出料方式,适用QFP/QFN/LQFP/PLCC/SOP/TSOP/BGA/PGA/LGA等封装外型集成电路的自动分选。来源:招股说明书,中泰证券研究所n公司先后被认定为国家级高新技术企业、杭州市企业高新技术研究开发中心、浙江省重点企业研究院和省级高新技术企业研究开发中心。2013年以来,公司承担了国家科技重大02专项“通讯与多媒体芯片封装测试设备与材料应用工程”中“高压大电流测试系统”和“SiP吸放式全自动测试分选机”两项课题的研发工作,其中“高压大电流测试系统”项目已通过长电科技、通富微电的认证,“SiP吸放式全自动测试分选机”项目适用于QFP、QFN、BGA等中高端封装外型芯片的测试分选,已通过长电科技的验证,并实现批量销售。图表320:公司营收和净利润快速增长(亿元)图表321:公司毛利率保持在较高水平若出现排版错位,可加微信535600147,获取PDF版本2.001.801.601.401.201.000.800.600.400.200.00营业总收入归母净利润营收同比(%)净利润同比(%)160%140%120%100%80%60%40%20%0%70%60%50%40%30%20%10%0%销售毛利率(%)销售净利率(%)66%62%63%63%58%60%57%31%33%28%24%23%25%17%2012201320142015201620172018Q1若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n主营产品销售高速增长,稳定高毛利率保障公司业绩:公司测试机和分选机产品销售实现了较快的增长,其中测试机主要型号CTA8280、分选机产品主要型号C6系列平移式分选机、C9系列重力测试编带一体机均于2013年推向市场,测试机销售收入由2014年的3,211.97万元增长至2016年的5,627.01万元,分选机销售收入由2014年的4,418.27万元增长至2016年的6,326.64万元。2014年-2017年,公司主营业务毛利率分别为62.36%、62.72%、59.71%和57%,总体水平较高。晶盛机电:国内晶体硅生长设备龙头n公司为国内晶体硅生长设备龙头企业,晶体生长设备产品主要服务于太阳能光伏产业,半导体集成电路产业等。同时近年来,公司已开发出光伏和LED领域的智能化装备和新型蓝宝石晶体生长炉等新产品,并通过产业链的延伸,致力于成为国内领先的蓝宝石材料供应商。若出现排版错位,可加微信535600147,获取PDF版本 图表322:公司2017年营收分类占比(亿元)图表323:公司主要产品:晶体生长设备等0.94,5%0.84,4%1.99,15.72,81%其他业务来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所n公司主要产品:全自动单晶生长炉、多晶硅铸锭炉、蓝宝石晶体炉、区熔硅单晶炉、单晶硅滚圆机、单晶硅截断机、单晶硅棒切磨复合加工一体机、多晶硅块研磨一体机、硅棒单线截断机、硅块单线截断机、蓝宝石晶锭、蓝宝石晶片、LED器件检测分选装备、LED灯具自动化生产线等。10%晶体硅生长设备LED智能化装备蓝宝石材料图表324:公司半导体设备,包括:晶体生长炉单晶硅截断机、滚磨机等来源:公司官网,中泰证券研究所n公司业绩保持高速增长:2018Q1年公司实现营收5.66亿元,同比增长53.3。归母净利润为1.35亿元,同比增长122.85亿元。2017年全年公司实现营收19.5亿元,同比增长78.6。归母净利润为3.87亿元,同比增长89.8.n2018Q1毛利率、净利率稍有提升。2018年Q1公司毛利率为39.37,同比增加3.66个百分点,环比增加1.02个百分点。2018Q1净利率为23.46,同比增加7.38个百分点,环比增加4.39个百分点。图表325:2017年营收及净利润情况(亿元)图表326:2017年公司毛利率及净利率情况若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本营业总收入归母净利润营收同比(%)净利润同比(%)2520151050200%150%100%50%0%-50%-100%60%50%40%30%20%10%0%销售毛利率(%)销售净利率(%)若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n公司研发生产的TDR80A-ZJS、TDR80B-ZJS、TDR120A-ZJS、TDR100B-ZJS等型号的全自动单晶硅生长炉可以用于生产均匀性更高、缺陷密度更低的单晶硅棒,不仅可以用于太阳能级单晶硅材料的制备,还可满足在均匀性和缺陷密度等方面要求更高的半导体行业客户需求。公司的半导体级单晶硅生长炉的研发可以追溯到公司成立之初:1)2007年4月,本公司成功研制出国内首台全自动直拉式单晶硅生长炉(TDR80A-ZJS型),并销售给国内知名半导体材料供应商有研半导体材料股份有限公司,成为其采购的首台国产全自动单晶硅生长炉设备,突破了高端单晶硅生长炉设备长期被国外大型企业垄断的产业格局。2)2008年3月,本公司成功研制出国内规格最大的全自动直拉式单晶硅生长炉(TDR120A-ZJS型),并销售给国内知名半导体材料供应商有研半导体材料股份有限公司,从而结束了长期以来12英寸大规格单晶硅生长炉设备依赖国外进口的历史。该产品单炉最大投料量达260kg,可拉制12~16英寸的大直径单晶硅棒,能配置CUSP磁场抑制硅熔体对流,减少单晶硅杂质含量。3)2008年8月,公司成功研发出重掺半导体级全自动直拉式单晶硅生长炉(TDR80B-ZJS型),专门用于生产低电阻率的重掺半导体晶体硅材料,并供应给全球十大半导体硅晶圆材料供应商之一的合晶科技股份有限公司,成功进入全球高端半导体材料设备供应商行列,显著提高了公司在半导体材料制备设备领域的品牌知名度和影响力。n公司近年又不断加大对半导体加工设备的开发,已经成功开发出4-6英寸,8-12寸英寸两种规格半导体单晶硅滚圆机、单晶硅截断机等新产品,产品结构不断丰富,同时公司继续研发8-12英寸硅片加工装备。n半导体装备订单获得突破,进一步打开半导体装备市场:2017年上半年公司与合晶科技下属公司郑州合晶硅材料有限公司签订了半导体用单晶硅生长炉合同,合同总金额940万美元。截至17上半年,公司半导体设备合计新签订单超过8,500万元,产品包括半导体单晶炉、半导体单晶硅滚圆机、半导体单晶硅棒截断机等新产品,在半导体加工设备的开发和市场销售方面取得积极进展。n加码半导体投资,进展迅速:10月13日,公司公告将与中环股份协同无锡市政府下属的投资平台等,共同启动建设集成电路用大硅片生产与制造项目,项目总投资约30亿美元,一期投资约15亿美元。若出现排版错位,可加微信535600147,获取PDF版本 n本次与中环股份的合作,是继国家科技重大专项(02专项)“极大规模集成电路制造装备及成套工艺”之《区熔硅单晶产业化技术与国产设备研制》合作之后的再一次在半导体领域的深度合作,将发挥公司在半导体设备研发制造领域的优势,有利于公司保持半导体硅晶体生长设备的技术先进性和市场领先优势,大力推进半导体关键设备国产化,不断提升公司半导体设备核心竞争力。我们判断公司将逐步受到下游晶圆厂认可,在国内晶圆厂产能扩张的背景下,设备类公司有望受益。n中标中环领先大硅片项目设备订单,凸显设备龙头地位。2018年7月11日,公司发布公告收到中环领先半导体材料有限公司集成电路用8-12英寸半导体硅片项目四工段设备采购第一包、第二包项目的中标通知书,中标产品为半导体级全自动单晶炉、截断机、滚磨机设备,中标金额合计40,285.10万元,约占公司2017年度营收的20.67。中环领先是中环股份下属子公司,其主导产品半导体区熔单晶-硅片综合实力全国第1,全球前3;本次中标中环领先大硅片项目设备订单,凸显出公司在半导体设备领域技术龙头地位,有利于保持公司在半导体硅晶体生长设备的领先优势。材料:关注核心材料国产突破,替代空间巨大下游需求持续增长,产业东迁促进国产替代n全球半导体材料市场回暖。经历了2015-2016连续两年产业规模下滑后,2017年半导体材料市场回复增长,产业规模达469.3亿美金,同比增长9.60%。以地域结构来看,全球所有地区半导体材料市场规模均实现了不同程度的增长。图表327:分地区半导体材料市场规模(十亿美元)来源:Wind、中泰证券研究所n产业持续东移,中国大陆增速第一。从占比来看,半导体材料市场中,中国大陆占比已实现连续十年稳定提升,从2007年占全球比重7.55%,到2017年占比16.24%。从增速来看,中、韩、欧高于全球平均增速,从高到低依次为,中国大陆同比增长12.06%,我国台湾地区同比增长11.85%,韩国若出现排版错位,可加微信535600147,获取PDF版本 同比增长10.93%,欧洲同比增长10.89%,其中欧洲基数过小,主要增长还是以中、韩为主,产业东移趋势明显。图表328:中国大陆vs全球半导体材料市场增速来源:Wind、中泰证券研究所晶圆前端制造材料率先增长,与我们传导图预测一致。从产品结构来看,2017年,晶圆制造材料市场规模达278亿美元,同比增长12.55%,封装材料市场规模为191亿美元,同比下滑-2.55%。晶圆制造与封装材料此前大多保持同向变动,且增速差距不大。此次晶圆制造材料率先增长,与我们传导图所提及的受益环节由前端制造向后端封测传导逻辑相符。图表329:全球半导体材料产品结构(十亿美元)来源:Wind、中泰证券研究所图表330:国内半导体材料市场产品结构若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本100%90%80%70%60%50%40%30%20%10%0%晶圆制造材料封装材料200520062007200820092010201120122013201420152016若出现排版错位,可加微信535600147,获取PDF版本来源:ICMtia、中泰证券研究所硅片是晶圆制造的基石。从材料所属环节来看,根据Wind数据,2017年,晶圆制造材料占半导体材料市场规模的59%,封装材料占比41%。晶圆制造材料中,SEMI数据显示,占比较高的依次为硅片、电子气体、掩膜版、光刻胶及配套试剂,其中硅片占比达31%;封装材料中占比较高的依次为封装基板、引线框架、键合丝、包封材料以及陶瓷基板。图表331:全球半导体材料市场细分产品结构来源:Wind、SEMI、中泰证券研究所硅片:半导体制造最核心材料n硅片是半导体产业上游核心基材。超过98%的电子元件基材需要使用单晶硅片作为载体,对均匀度、纯度要求极高,一般光伏级硅片纯度需要达到99.99999%(7个9),而半导体级硅片纯度需要达到99.999999999%(11个9)。n硅片制备工艺主要有直拉法与区熔法。直拉法由柴可拉斯基发明,故又称CZ法,半导体工业更普遍采用直拉法,约85%的硅片由直拉法生产,15%的硅片由区熔法生产。按应用分,直拉法生长出的单晶硅,主要用于生产集成电路元件,而区熔法生长出的单晶硅主要用于功率半导体。直拉法工艺成熟,更容易生长出大直径单晶硅;区熔法熔体不与容器接触,不易污染,纯度较高,适用于大功率电子器件生产,但较难生长出大直径单晶硅,一般仅用于8寸或以下直径。若出现排版错位,可加微信535600147,获取PDF版本 图表332:硅片生产工艺-柴可拉斯基法来源:Wikipedia、中泰证券研究所图表333:区熔法来源:Harvard.edu、中泰证券研究所n从单晶硅棒至成品硅片需经多道复杂工艺。从单晶硅棒开始,到包装付运结束,一般需要经历径长滚磨、切断、线切、激光标识、倒角、磨片、腐蚀、边缘镜面抛光、预热清洗、退火、背封、最终抛光、检查前清洗、几何参数检测、最终清洗、激光检查、包装付运。每道工艺步骤都有其目的,主要可以归为三类:修正物理性能、减少不期望的表面损伤、消除表面玷污及颗粒。图表334:硅片制造流程若出现排版错位,可加微信535600147,获取PDF版本 来源:韩国世宗半导体、中泰证券研究所n硅片尺寸不断演进。硅片尺寸早期以英寸为标准,如1英寸(25.4mm)、2英寸(51mm)、3英寸(76mm)。从1975年4英寸硅片诞生以来,硅片尺寸开始以公制单位mm为标准,100mm(4英寸)、125mm(4.9英寸,习惯称5英寸)、150mm(5.9英寸,惯称6英寸)、200mm(7.9英寸,惯称8英寸)、300mm(11.8英寸,惯称12寸)以及将来的450mm(17.7英寸,惯称18英寸)。目前6寸及以下尺寸硅片一般应用于中低阶产品,8寸至11寸则被应用于CPU、GPU等高阶产品,其中12寸还广泛应用于存储器、嵌入式芯片等产品。图表335:硅片尺寸演进来源:AnySilicon、中泰证券研究所n硅片向大尺寸迁移是大势所趋。12寸硅片占比不断提高,按出货量计算,2017年12寸硅片每月出货536万片,占总硅片出货量比重达40%,预计到2022年,12寸硅片出货量将达661万片,占比提升至45%。从下游晶圆制造来看,目前全球8寸晶圆制造设备几乎停产,向12寸迁移趋势已不可逆转。图表336:硅片市场格局—以尺寸分类若出现排版错位,可加微信535600147,获取PDF版本 来源:SUMCO、中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本图表337:大尺寸晶圆生产效率更高n为什么要大尺寸?首先,大尺寸硅片生产效率更高,12寸硅片面积为8寸硅片的2.25倍,以硅片面积粗略估算DPW(dieperwafer)将提升约125%;其次,大尺寸硅片单位成本更低,英飞凌估算从8寸迁移至12寸将带来较强的成本优势,12寸相较8寸,尺寸为2.25倍,成本为2.8倍,设备成本为1.7倍,人工成本随着自动化率提升,仅为0.8倍,其他成本为1.5倍,综合来看,相较于8寸,12寸晶圆单位面积成本将改善20-30%。若出现排版错位,可加微信535600147,获取PDF版本图表338:大尺寸晶圆单位成本更低200mm300mm晶圆尺寸100%225%原料成本100%~280%设备成本100%~170%人工成本100%~80%其他100%~150%单位面积成本100%70%~80%来源:Wikipedia、中泰证券研究所来源:Infineon、中泰证券研究所n硅片市场格局高度集中,国际大厂处于垄断地位。从2012年起,半导体硅片市场前六大厂商市占率始终保持在90%以上,2016年环球晶与SunEdison合并之后,市场集中度进一步提升。从地域结构来看,日本的信越与胜高合计市占率始终保持在50%以上,我国台湾地区的环球晶圆市占率达17%,欧洲Siltronic市占率为15%,韩国SKSiltron为9%。图表339:全球硅片市场格局若出现排版错位,可加微信535600147,获取PDF版本 来源:SUMCO年报、中泰证券研究所n硅片国产替代稳步推进。面对硅片短缺、进口依赖、需求增长等各方面挑战,我国大力推进国产硅片项目。预计完全达产后,8寸、12寸硅片产能将分别至少增加300万片/月,随着8寸项目率先逐步投产,8寸硅片自给率将陆续提高,上海新阳12寸硅片正片已实现出货,晶圆厂上游硅片进口依赖问题将部分解决,成本端压力相应减轻,盈利能力有望进一步提升。8寸硅月产能投资金投产时图表340:国内8寸硅片扩产计划及进度(万片/额(亿备注晶圆厂月)合晶郑20元)12间201918Q1完工试产金瑞泓1072017金瑞泓一期改21.572018州一期一期若出现排版错位,可加微信535600147,获取PDF版本造金瑞泓二期、三期重庆超30432019投资金额43亿元包括12寸硅片项目若出现排版错位,可加微信535600147,获取PDF版本15硅一期重庆超35硅二期中环内20172018年底实现15万片/月,投2020资金额50亿元包括二期中的12寸硅片项目50若出现排版错位,可加微信535600147,获取PDF版本蒙古中环天津中环无锡10-201725-201875200202218年3月产能已达10万片/月,10月全面达产投资金额200亿元中包括12寸硅片项目若出现排版错位,可加微信535600147,获取PDF版本若出现排版错位,可加微信535600147,获取PDF版本 宁夏银30152017宁夏银35和二期162018年底可试生产,投资金额201916亿元中包括12寸硅片项目杭州中30芯60预计19年1月试生产,投资金2019额60亿元中包括12寸硅片项目经略长10-201919年完成一期工程合计327和一期丰来源:公开信息整理,中泰证券研究所图表341:国内12寸硅片扩产情况及进度若出现排版错位,可加微信535600147,获取PDF版本备注投产时间投资金额(亿元)12寸硅月产能晶圆厂(万片/月)合晶郑州二期上海新昇一期上海新2041202015*18*2017*2018年5月一期产能为5万片/月,正片已经开始销售,预计18年底将达10万片/月,20年底将达30万片/月;投资金额方面,若出现排版错位,可加微信535600147,获取PDF版本昇二45*期、三期452022预计18年投资金额将超过原计划一期月产能15万片所需投资金额金瑞泓二期、10三期43投资金额43亿元中包括8寸硅2019片项目重庆超5硅二期50投资金额50亿元中包括8寸硅2020片项目成都超50502021中环天2-2018中环无60锡200投资金额200亿元中包括8寸硅2022片项目宁夏银20和二期162018年底试生产,投资金额162019亿元中包括8寸硅片项目安徽易13172017*2017年试生产杭州中20芯60投资金额60亿元中包括8寸硅2019片项目经略长40-20212019年完成一期工程合计300硅津芯丰若出现排版错位,可加微信535600147,获取PDF版本 来源:公开信息整理,中泰证券研究所光刻胶:国产突破i线光刻胶,248nm突破可期n光刻胶是光刻工艺的核心材料,是指通过紫外光、深紫外光、电子束、离子束、X射线等光照或辐射,其溶解度发生变化的耐蚀刻薄膜材料,是光刻工艺中的关键材料,主要应用于集成电路和半导体分立器件的细微图形加工。n根据在显影过程中曝光区域的去除或保留可分为两种:正性光刻胶和负性光刻胶。正性光刻胶之曝光部分发生光化学反应会溶于显影液,而未曝光部分不溶于显影液,仍然保留在衬底上,将与掩膜上相同的图形复制到衬底上。而负性光刻胶之曝光部分因交联固化而不溶于显影液,而未曝光部分溶于显影液,将与掩膜上相反的图形复制到衬底上。图表342:正胶、负胶对比正胶负胶附着力一般优秀相对成本较高较低溶解性曝光区溶解曝光区保留最小特征0.5微米2微米来源:MEMS、中泰证券研究所n光刻胶分辨率随IC集成度提高而提高。在大规模集成电路的制造过程中,光刻和刻蚀技术是精细线路图形加工中最重要的工艺,决定着芯片的最小特征尺寸,占芯片制造时间的40-50%,占制造成本的30%。半导体光刻胶随着市场对半导体产品小型化、功能多样化的要求,而不断通过缩短曝光波长提高极限分辨率,从而达到集成电路更高密度的集积。图表343:光刻胶的发展趋势来源:《光刻胶的发展及应用》、中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 n根据使用的不同波长的曝光光源分类,如g线(436nm)、i线(365nm)、KrF(248nm)、ArF(193nm)和EUV(13.5nm),相应的光刻胶组分也会有一定的变化。如248nm光刻胶常用聚对羟基苯乙烯及其衍生物为光刻胶主体材料,193nm光刻胶为聚酯环族丙烯酸酯及其共聚物,EUV光刻胶常用聚酯衍生物和分子玻璃单组分材料等为主体材料。图表344:不同波长光刻胶对比光源波长用途材料备注g线436nm0.5微米以上的集成电路为酚醛树使用量最脂和重氮大,以正性萘醌化合胶为主物i线365nm0.35-0.5微米集成电路若出现排版错位,可加微信535600147,获取PDF版本0.15-0.25微KrF248nm米集成电路28-130nm聚对羟基苯乙烯及其衍生物和光致产酸剂聚脂环族丙烯酸酯正胶和负胶若出现排版错位,可加微信535600147,获取PDF版本ArF193nmEUV13.5nm集成电路22nm以下集成电路及其共聚正胶物和光致产酸剂聚酯衍生物和分子玻璃单组分材料若出现排版错位,可加微信535600147,获取PDF版本来源:Wikipedia、强力新材招股说明书、中泰证券研究所n光刻胶行业进入壁垒较高,初期投入较大。光刻胶研发难度较大,不同的客户会有不同的应用需求,同一个客户也有不同的光刻应用需求,不同的光刻过程对光刻胶的具体要求也不一样,即使类似的光刻过程,不同的厂商也会有不同的要求。针对以上不同的应用需求,光刻胶的品种非常多,对厂商的配方研发能力提出较高要求。同时,行业资金壁垒较高,光刻胶的研发需要使用光刻机,以ASML为例,EUV光刻机常年保持在1亿欧元左右,248nm的KrF光刻机也基本维持在一千万欧元以上。图表345:ASML光刻机ASP趋势(百万欧元)若出现排版错位,可加微信535600147,获取PDF版本 来源:ASML、中泰证券研究所n全球光刻胶市场规模持续增长。中经先略数据中心数据显示,2015年全球光刻胶市场规模达到73亿美元,2010至2015年市场规模CAGR约为5.8%,预计2019年市场规模将接近90亿美元,2020年,市场规模将突破100亿美元。同时n光刻胶市场呈现寡头垄断格局。新材料在线数据显示,前5大厂商占据了全球光刻胶市场87%的份额,行业集中度较高。市占率由高到低分别是:日本JSR(28%)、东京应化(21%)、罗门哈斯(15%)、日本信越(13%)以及富士电子材料(10%)。图表346:全球光刻胶市场规模(亿美元)图表347:光刻胶市场格局来源:中经先略数据中心、中泰证券研究所来源:新材料在线、中泰证券研究所nPCB光刻胶市场稳定增长,国产份额持续增长。中国产业信息网数据显示2015年全球PCB光刻胶市场规模达18亿美元,从地域结构来看,随着外资企业将产能迁至中国,中国PCB光刻胶产值占全球比重约70%,达12.6亿美金。另外,随着国内供应商逐步掌握了PCB油墨关键原材料合成树脂的合成技术,改变了过去对进口合成树脂的依赖,有效降低了产品成本,形成较为明显的价格竞争优势。目前,外国独资企业约占我国PCB油墨市场的36%,中外合资企业约占18%,本土企业约占46%。图表348:全球PCB光刻胶市场规模(亿美元)若出现排版错位,可加微信535600147,获取PDF版本 来源:中国产业信息网、中泰证券研究所n新增面板产能持续促进面板光刻胶需求。根据智研咨询数据,虽然面板出货量有所下滑,但随着全球高世代线陆续投产,面板出货面积有所增长,对上游面板光刻胶需求稳定增长,全球2016年面板光刻胶市场规模突破20亿美元,预计2020年将达到23.7亿美元,复合增速约为4%。图表349:全球面板光刻胶市场规模(亿美元)来源:智研咨询、中泰证券研究所n半导体产业回暖促进上游光刻胶需求。中国半导体行业协会数据显示,2016年全球半导体光刻胶市场规模达14亿美元,配套试剂规模达19亿美元,随着16年以来半导体行业持续回暖,对上游需求不断增长,预计2018年全球半导体光刻胶规模将接近16亿美元,配套试剂规模将突破20亿美元。图表350:全球半导体光刻胶及配套试剂规模(亿美元)若出现排版错位,可加微信535600147,获取PDF版本 来源:中国半导体行业协会、中泰证券研究所n产业东迁,国内光刻胶市场空间巨大。光刻胶下游需求量最大的三大行业,都呈现出产能动迁,中国占比提升的趋势。PCB方面,2006年以来,我国PCB市场规模始终维持世界第一的基础上,份额不断增加,SEMI数据显示,2016年我国PCB市场规模占全球比重达50%,Prismark预测,今后我国PCB市场规模仍将维持3.5%的复合年增长率;显示面板方面,中国市占率不断提升,IHS数据显示,2016年我国面板产能占全球比重为26%,随着京东方等十数家国产厂商扩产项目陆续投产,预计2018年我国面板产能占比有望提升至35%以上;半导体方面,我国集成电路市场增速自2012年以来始终维持在10%以上,远高于全球平均增速,中国半导体行业协会数据显示,2017年,我国IC国产自给率达到36%,预计2020年将超过40%。综合来看,我们国内光刻胶需求量将持续增长,中经先略数据显示,2015年我国光刻胶需求量为10万吨,预计到2022年,我国光刻胶需求量将超过27万吨。图表351:国内光刻胶需求量(万吨)来源:中经先略数据中心、中泰证券研究所n国内光刻胶产品尚有较大改善空间。智研咨询数据显示,全球光刻胶下游应用较为均衡,PCB光刻胶、平板显示光刻胶、半导体光刻胶以及其他应用占比基本都在25%左右,而国内光刻胶主流应用集中在PCB用光刻胶,占比超90%,结构较为单一,产品以低端为主,随着国内研发团队的不断突破,若出现排版错位,可加微信535600147,获取PDF版本 国产LCD、半导体光刻胶占比有望提升。图表352:全球光刻胶应用结构图表353:中国大陆光刻胶应用结构来源:智研咨询、中泰证券研究所来源:智研咨询、中泰证券研究所n高端光刻胶自给率较低,苏州瑞红实现i线光刻胶量产。结合中经先略数据中心以及辐射固化委员会的数据来看,我国光刻胶供需比长期维持在90%左右,2015年我国光刻胶需求量为10.16万吨,产量为9.7万吨,供需比约为95%,粗看之下,似乎已基本实现国产自给,实则正如上文所述,我国光刻胶产量中,PCB光刻胶占比超94%,而LCD、半导体用高端光刻胶自给率仍然有待提升,目前苏州瑞红已实现i线光刻胶量产,在248nm光刻胶的攻关上,苏州瑞红与北京科华处于领跑地位。图表354:国内光刻胶产量(万吨)来源:辐射固化委员会、中泰证券研究所图表355:各类光刻胶国产化进程若出现排版错位,可加微信535600147,获取PDF版本 类型细分类型年增速国产化进程彩色和黑色光刻胶10%几乎进口LCD光刻胶LCD触摸屏用光刻胶>10%苏州瑞红约占30%~40%,其他是台湾新应材及台湾凯阳TFT-LCD正性光刻胶10%大部分进口LED光刻胶宽谱g/i/h线(365/405/433nm)25%多数进口环化橡胶类光刻胶10-15%用于4~5寸分立器件,已国产化半导体光刻胶g/i线光刻胶(436/365nm)15%自给率10%左右,主要来自台湾省和日本KrF/ArF光刻胶(248/193nm)20%几乎全部进口来源:晶瑞股份招股说明书、中泰证券研究所靶材:镀膜工艺核心材料n溅射(Sputtering)工艺属于物理气相沉积(PVD)技术的一种,是制备电子薄膜材料的主要技术之一,它利用离子源产生的离子,在高真空中经过加速聚集,而形成高速度能的离子束流,轰击固体表面,离子和固体表面原子发生动能交换,使固体表面的原子离开固体并沉积在基底表面,被轰击的固体是用溅射法沉积薄膜的原材料,称为溅射靶材。图表356:溅射靶材工作原理示意图来源:江丰电子招股说明书、中泰证券研究所n溅射靶材的种类较多,即使相同材质的溅射靶材也有不同的规格,。按照不同的分类方法,能够将溅射靶材分为不同的类别:图表357:溅射靶材种类若出现排版错位,可加微信535600147,获取PDF版本 分类标准产品类别形状长靶、方靶、圆靶金属靶材(纯金属铝、钛、铜、钽等)、若出现排版错位,可加微信535600147,获取PDF版本化学成分合金靶材(镍铬合金、镍钴合金等)、陶瓷化合物靶材(氧化物、硅化物、碳化物、硫化物等)若出现排版错位,可加微信535600147,获取PDF版本应用领域半导体芯片靶材、平面显示器靶材、太阳能电池靶材、信息存储靶材、工具改性靶材、电子器件靶材、其他靶材来源:《溅射靶材的种类、应用及发展趋势》、中泰证券研究所n溅射靶材的应用领域广泛,由于应用领域的不同,溅射靶材对金属材料的选择和性能要求存在一定的差异。在溅射靶材应用领域中,半导体芯片对溅射靶材的金属材料纯度、内部微观结构等方面都设定了极其苛刻的标准,需要掌握生产过程中的关键技术并经过长期实践才能制成符合工艺要求的产品,因此,半导体芯片对溅射靶材的要求是最高的,价格也最为昂贵;相较于半导体芯片,平面显示器、太阳能电池对于溅射靶材的纯度和技术要求略低一筹,但随着靶材尺寸的增大,对溅射靶材的焊接结合率、平整度等指标提出了更高的要求。此外,溅射靶材需要安装在溅射机台内完成溅射过程,溅射机台专用性强,对溅射靶材的形状、尺寸和精度也设定了诸多限制。图表358:溅射靶材应用领域应用领域金属材料主要用途性能要求若出现排版错位,可加微信535600147,获取PDF版本半导体芯片平面显示器超高纯度铝、钛、铜、钽等高纯度铝、铜、钼等,掺锡氧化铟(ITO)制备集成电路的关键原材料高清晰电视、笔记本电脑等技术要求最高、超高纯度金属、高精度尺寸、高集成度技术要求高、高纯度材料、材料面积大、均匀性程度高若出现排版错位,可加微信535600147,获取PDF版本太阳能电池高纯度铝、铜、钼、铬等,ITO薄膜太阳能电池技术要求高、应用范围大若出现排版错位,可加微信535600147,获取PDF版本信息存储铬基、钴基合金等光驱、光盘等高储存密度、高传输速度若出现排版错位,可加微信535600147,获取PDF版本工具改性纯金属铬、铬铝合金等电子器件镍铬合金、铬硅合金等工具、模具等表面强化薄膜电阻、薄膜电容性能要求较高、使用寿命延长要求电子器件尺寸小、稳定性好、电阻温度系数小若出现排版错位,可加微信535600147,获取PDF版本其他领域纯金属铬、钛、镍等装饰镀膜、玻璃镀膜等技术要求一般,主要用于装饰、节能等若出现排版错位,可加微信535600147,获取PDF版本来源:《溅射靶材的应用及发展前景》、中泰证券研究所n溅射靶材产业链基本呈金字塔型分布。,溅射靶材产业链主要包括金属提纯、靶材制造、溅射镀膜和终端应用等环节:n高纯溅射靶材制造环节技术门槛高、设备投资大,具有规模化生产能力的企业数量相对较少,主要分布在美国、日本等国家和地区;若出现排版错位,可加微信535600147,获取PDF版本 n溅射靶材客户端的溅射镀膜环节具有规模化生产能力的企业数量相对较多,但质量参差不齐,美国、欧洲、日本、韩国等知名企业居于技术领先地位,品牌知名度高、市场影响力大,通常会将产业链扩展至下游应用领域,利用技术先导优势和高端品牌迅速占领终端消费市场,如IBM、飞利浦、东芝、三星等;n终端应用环节是整个产业链中规模最大的领域,其产品的开发与生产分散在各个行业领域,同时,此环节具有突出的劳动密集性特点,参与企业数量最多,机器设备投资一般,主要分布在日本、中国台湾和中国大陆等,并逐渐将生产工厂向人力成本低的国家和地区转移。图表359:溅射靶材产业链来源:江丰电子招股说明书、中泰证券研究所n下游需求全线增长驱动溅射靶材市场规模高速增长。《高纯溅射靶材行业市场分析报告》数据显示,2016年全球高纯溅射靶材市场规模约为113.6亿美元,同比增长接近20%,其中平板显示用靶材为38.1亿美元,占比34%,半导体用靶材11.9亿美元,占比10%,太阳能电池用靶材23.4亿美元,占比21%,记录媒体靶材33.5亿美元,占比29%。预计2016到2019年,,全球高纯溅射靶材市场年复合增长率将维持在13%左右,2019年,市场规模将超过163亿美元。图表360:不同应用溅射靶材市场规模(亿美元)图表361:2016年溅射靶材应用结构若出现排版错位,可加微信535600147,获取PDF版本 来源:《高纯溅射靶材行业市场分析报告》、中泰证券研究所来源:《高纯溅射靶材行业市场分析报告》、中泰证券研究所n半导体景气周期持续促进上游溅射靶材销售规模扩大。SEMI数据显示,2015年全球半导体材料销售额为435亿美元,其中晶圆制造材料销售额为242亿美元,封装材料为193亿美元。在晶圆制造材料中,溅射靶材约占芯片制造材料市场的2.6%。在封装测试材料中,溅射靶材约占封装测试材料市场的2.7%。2015年全球半导体用溅射靶材销售额为11.4亿美元。随着半导体景气周期的持续,对上游溅射靶材的需求将加速增长,预计2017年,全球封测用溅射靶材市场规模为6.1亿美元,晶圆制造用溅射靶材市场规模为7.3亿美元。图表362:半导体溅射靶材市场规模(亿美元)来源:SEMI、中泰证券研究所n溅射靶材市场持续东迁。《超高纯度溅射靶材行业市场分析报告》数据显示,2011年以来,我国集成电路用溅射靶材市场增速始终高于全球半导体溅射靶材市场增速,2015年我国集成电路用溅射靶材市场规模为11.6亿元,预计2016年国内市场规模将突破14亿元。图表363:我国半导体芯片用溅射靶材市场规模(亿元)若出现排版错位,可加微信535600147,获取PDF版本 来源:《超高纯度溅射靶材行业市场分析报告》、中泰证券研究所n全球平板显示用溅射靶材市场增速放缓,国内需求保持高速增长。中国电子材料行业协会数据显示,2015年,全球平板显示用溅射靶材市场规模达33.8亿美元,同比增长7.64%。同时,随着我国平板显示产能持续扩张,对上游靶材需求仍旧保持了较快的增长,2015年我国平板显示用溅射靶材市场规模达69.3亿美元,同比增长26%,增速远超全球平均增速。我们预计,随着未来国产溅射靶材技术进一步提升,基于产品价格、采购国产化等因素的考虑,我国液晶面板厂商将开始有选择地与本土优秀溅射靶材厂商建立长期合作伙伴关系,有望带动我国溅射靶材行业快速发展。图表364:全球平板显示用溅射靶材市场规模(亿美元)图表365:国内平板显示用溅射靶材(亿元)来源:中国电子材料行业协会、中泰证券研究所来源:中国电子材料行业协会、中泰证券研究所n全球光伏电池用溅射靶材维持高速增长。太阳能光伏产业的快速发展给太阳能电池用溅射靶材市场带来了巨大的成长空间,2015年全球太阳能电池用溅射靶材市场规模18.5亿美元,同比增长21.7%。目前国内太阳能电池主要以硅片涂覆型太阳能电池为主,薄膜电池的产量仍较小,而且以硅薄膜电池为主,因此溅射靶材市场规模较小,2013、2014、2015年的市场规模分布为3.5、4.6和7.5亿元。随着国内薄膜电池生产线的投产,我国太阳能电池用溅射靶材市场将持续增长。图表366:全球光伏电池用溅射靶材市场规模(亿美元)若出现排版错位,可加微信535600147,获取PDF版本 来源:江丰电子招股说明书、中泰证券研究所n国际大厂处于领先地位,行业集中度较高,呈现区域集聚特征。全球范围内,半导体工业的区域集聚性造就了高纯溅射靶材生产企业的高度聚集,日矿金属、霍尼韦尔、东曹、普莱克斯、住友化学、爱发科等美、日企业,资金实力雄厚、技术水平领先、产业经验丰富的跨国公司居于全球高纯溅射靶材行业的领导地位,属于溅射靶材的传统强势企业,凭借其强大的技术研发实力和市场影响力牢牢占据全球溅射靶材市场的绝大部分市场份额。图表367:溅射靶材全球主要公司介绍国家公司介绍若出现排版错位,可加微信535600147,获取PDF版本霍尼韦尔普莱克斯公司,2014年福布斯世界两千强排名第437位,其电子行业的主要产品包括电子设备、次大气气体输送系统、溅射靶材等,其溅射靶材主要应用于电子及半导体行业。2013年度、2014年度、2015年度,普莱克斯销售额分别为119.25亿美元、122.73亿美元、107.76亿美元。普莱克斯美国霍尼韦尔国际公司,2014年财富世界五百强排名第283位,其靶材产品包括钛铝靶、钛靶、铝靶、钽靶、铜靶等。2013年度、2014年度、2015年度霍尼韦尔销售额分别为390.55亿美元、403.06亿美元、385.81亿美元,其中靶材所属的新材料销售额分别为38.02亿美元、39.04亿美元、35.10亿美元。若出现排版错位,可加微信535600147,获取PDF版本若出现排版错位,可加微信535600147,获取PDF版本日矿金属东曹日本住友化学JX日矿日石金属株式会社,为JX控股子公司。JX控股总部位于日本,2014年财富世界五百强排名第51位,日矿金属以铜为中心,其溅射靶材产品主要用于大规模集成电路、平板显示、相变光盘等。2014、2015、2016财年,JX控股销售额分别为12.41万亿日元、10.88万亿日元、8.74万亿日元,其中金属业务销售额分别为1.04万亿日元、1.16万亿日元、1.05万亿日元。东曹株式会社,2014年福布斯世界两千强排名第1904位,其溅射靶材通过在美国、日本、韩国和中国的生产基地生产,主要用于半导体、太阳能发电、平板显示器、磁记录媒体等领域。2014、2015、2016财年销售额分别为7,722.72亿日元、8,096.84亿日元、7,537.36亿日元。东曹在中国大陆设有4家子公司,其中溅射靶材相关业务主要由东曹达(上海)贸易有限公司、东曹达(上海)电子材料有限公司两家子公司经营。住友化学株式会社,2013年财富世界五百强排名第494位,其情报电子化学领域的主要产品包括滤色镜、光学功能薄膜、彩色光阻剂、导光板、触摸屏面板、溅射靶材等。2014、2015、2016财年,住友化学销售额分别为2.24万亿日元、2.38万亿日元、2.10万亿日元。住友化学在中国大陆设有19家子公司,其中溅射靶材行业相关业务由住化电子材料科技(上海)有限公司经营。若出现排版错位,可加微信535600147,获取PDF版本日本爱发科真空技术株式会社,其溅射靶材主要应用于平板显示、半导体、太阳能电池等领域,此外爱发科还可以生产ITO靶材。2014、2015、2016财年,爱发科销售额分别为1,738.78亿日元、爱发科若出现排版错位,可加微信535600147,获取PDF版本 1,791.74亿日元、1,924.37亿日元。爱发科真空技术株式会社在中国设有7家子公司,其中溅射靶材相关业务由爱发科真空技术(苏州)有限公司经营。若出现排版错位,可加微信535600147,获取PDF版本三井矿业三井矿业冶炼有限公司,主营业务包括工程材料、电子材料制造和销售,非铁金属加工、资源开发、贵金属回收、原材料相关事业,汽车配件制造和销售等,2014、2015、2016财年营业收入4,410亿日元、4,732亿日元、4,506亿日元。若出现排版错位,可加微信535600147,获取PDF版本来源:江丰电子招股说明书、中泰证券研究所n国内下游半导体产业高速发展,有望复制美、日区域聚集性模式经验,带动上游国产溅射靶材加速导入国内市场。目前,国产靶材厂商主要集中在低端产品领域进行竞争,在半导体芯片、液晶显示器和太阳能电池等市场还无法与国际巨头全面抗衡,但是依靠产业政策导向、产品价格优势已经在国内市场占有一定的市场份额,并逐步在个别产品或领域挤占国际厂商的市场空间。同时,在高端领域,以江丰电子为代表国产高纯溅射靶材厂商,逐渐打破了国外技术垄断,成功进入了下游知名半导体企业供应名单。图表368:国家关于溅射靶材产业相关政策颁布时间颁布单位政策名称2010-10国务院《国务院关于加快培育和发展战略性新兴产业的决定》若出现排版错位,可加微信535600147,获取PDF版本部、商务部、知识产权局领域指南(2011年度)》2011-12国务院《工业转型升级规划(2011-2015年)》2012-02工信部《电子基础材料和关键元器件“十二五”规划》2012-02工信部《电子信息制造业“十二五”发展规划》2013-02国家发改委《产业结构调整指导目录(2011年本)》(2013年修订)2014-04《关于组织实施新型平板显示和宽带国家发改委、工信部网络设备研发及产业化专项有关事项的通知》2014-10国家发改委、工信部《2014-2016年新型显示产业创新发展行动计划》2011-06国家发改委、科技部、工信《当前优先发展的高技术产业化重点若出现排版错位,可加微信535600147,获取PDF版本若出现排版错位,可加微信535600147,获取PDF版本国家发改委、财政部、工信2014-10部《关键材料升级换代工程实施方案》若出现排版错位,可加微信535600147,获取PDF版本2015-05国务院《中国制造2025》2016-09工信部《有色金属工业发展规划2016-10工信部《产业技术创新能力发展规划(2016(2016-2020)》若出现排版错位,可加微信535600147,获取PDF版本 -2020年)》若出现排版错位,可加微信535600147,获取PDF版本工信部、国家发改委、财政2016-12部、科技部《新材料产业发展指南》若出现排版错位,可加微信535600147,获取PDF版本2016-12国务院《“十三五”国家战略性新兴产业发展规划》2017-06工信部《重点新材料首批次应用示范指导目录(2017年版)》来源:阿石创招股说明书、中泰证券研究所湿电子化学品:国产G5级双氧水打破国际垄断n湿电子化学品是指电子工业使用的专用化学品和化工材料,即电子元器件、印刷线路板、工业及消费类整机生产和包装用各种化学品及材料。电子化学品具有品种多、质量要求高、用量小、对环境洁净度要求苛刻、产品更新换代快、资金投入量大、产品附加值较高等特点,这些特点随着微细加工技术的发展越来愈明显。n按用途分类,主要分为通用性超净高纯试剂与功能性材料,超净高纯试剂是控制颗粒和杂质含量的电子工业用化学试剂。按照性质划分可分为:酸类、碱类、有机溶剂类和其它类;功能性材料主要包括显影液、剥离液、蚀刻液、稀释剂和清洗液等。图表369:超净高纯试剂种类酸类氢氟酸、硝酸、盐酸、磷酸、硫酸、乙酸等碱类氨水、氢氧化钠、氢氧化钾、四甲基氢氧化铵等醇类甲醇、乙醇、异丙醇等酮类丙酮、丁酮、甲基异丁基酮等若出现排版错位,可加微信535600147,获取PDF版本有机溶剂脂类乙酸乙酯、乙酸丁酯、乙酸异戊酯等若出现排版错位,可加微信535600147,获取PDF版本类烃类苯、二甲苯、环己烷等卤代烃类三氯乙烯、三氯乙烷、氯甲烷、四氯化碳等其他类双氧水等来源:晶瑞股份招股说明书、中泰证券研究所n从产品结构来看,超净高纯试剂需求量占比达88%,功能性材料占比达12%。其中,超净高纯试剂中,占比较大的依次是,双氧水、硫酸、氢氟酸、硝酸以及磷酸;功能性材料中,占比较大的依次是,半导体用显影液、刻蚀液、面板用显影液、剥离液以及缓冲刻蚀液。图表370:湿电子化学品产品结构若出现排版错位,可加微信535600147,获取PDF版本 来源:公开资料、《新型电子化学品生产技术与配方》、中泰证券研究所n1975年,国际半导体设备和材料组织(SEMI)制定了国际统一的超净高纯试剂标准,以对应不同线宽的集成电路应用。从SEMI制定的国际统一超净高纯试剂标准可以看出,随着集成电路制作要求的提高,工艺所需的试剂纯度不断提升。目前,国际上制备G1到G4级超净高纯试剂的技术都已经趋于成熟。随着集成电路制作要求的提高,对工艺中所需的电子化学品纯度的要求也不断提高。从技术趋势上看,满足纳米级集成电路加工需求是超净高纯试剂今后发展方向之一。n自给率有待提升,晶瑞股份双氧水达到G5级,突破国外垄断。目前G1级超净高纯试剂主要应用于光伏领域,是国产产品的主要市场,已完全国产;G2级主要用于分立器件、LED制造以及平板显示领域,已实现大部分国产化;G3级主要用于LED、平板显示以及部分集成电路,已实现部分国产化,能满足大部分LED、显示领域的生产需求;G4、G5级主要用于集成电路,对试剂纯度要求较高,目前自给率较低,晶瑞股份所生产的硝酸、氢氟酸、氨水、盐酸、异丙醇等产品已达到SEMIG4等级,可用于0.09-0.2微米的集成电路;拳头产品双氧水已经达到10ppt级别水平,相当于SEMIG5等级,突破了国外技术垄断,可用于90nm以下集成电路。图表371:SEMI等级标准SEMI等级G1G2G3G4G5金属杂质/(µg/L)≤100≤10≤1≤0.1≤0.01控制粒径/µm≤1.0≤0.5≤0.5≤0.2需双方协定颗粒个数/(个/mL)≤25≤25≤5需双方协定需双方协定适应IC线宽范围/µm>1.20.8-1.20.2-0.60.09-0.2<0.09来源:《微电子工业对超净高纯化学品的质量要求》、中泰证券研究所n国内湿电子化学品市场将持续高速增长。按下游应用分类,湿电子化学品主要用于平板显示、半导体以及光伏领域,其中,显示面板与半导体的规模增长将持续驱动对上游湿电子化学品需求的增长。智研咨询数据显示,2016年,我国湿电子化学品市场容量达74万吨,同比增长10%,市场规模达59亿元,同比增长14.5%,预计2016-2019年CAGR为22%,2019年市场容量有望达到130万吨,市场规模有望达到107亿元。若出现排版错位,可加微信535600147,获取PDF版本 图表373:湿电子化学品需求量(万吨)来源:智研咨询、中泰证券研究所图表372:湿电子化学品下游应用来源:江化微招股说明书、中泰证券研究所图表374:湿电子化学品市场规模(亿元)来源:智研咨询、中泰证券研究所n平板显示制程工艺环节的清洗、光刻、显影、蚀刻环节需要反复应用湿电子化学品。在平板显示制程工艺中,将玻璃基板制成可用的薄膜电晶体,需要重复清洗、镀膜、上光阻、曝光、显影、蚀刻、区光阻等过程,一般来说,若出现排版错位,可加微信535600147,获取PDF版本 要制造TFT-LCD需要重复5到7次上述工序。其中,湿电子化学品主要用于清洗、光刻、显影、蚀刻等环节。图表375:湿电子化学品在平板显示中的应用来源:江化微招股说明书、中泰证券研究所n高世代线湿化学品国产化率十分有限。随着平板显示向高世代发展趋势的加快,对产品的良品率、稳定性、分辨率以及反应时间会有越来越高的要求,相应对高世代线用湿电子化学品提出越来越高的要求。目前,国内平板显示领域3.5代线及以下用超净高纯试剂基本实现国产化,4.5代线、5代线平板显示用湿电子化学品的国产化率仅有30%,6代线至8.5代线平板显示用湿电子化学品国产化率仅有10%左右。以正胶剥离液为例,目前国内面板厂商上游正胶剥离液供应几乎被日韩垄断,仅江化微打入中电熊猫液晶6代线,实现突破。图表376:国内正胶剥离液供应情况公司名称地区面板类型世代正胶剥离液供应上海LCD5G韩国ENF成都LCD4.5G韩国东进世美肯深天马武汉LCD4.5G韩国ENF武汉AMOLED6G韩国ENF厦门LCD6G韩国ENF厦门AMOLED5.5G韩国ENF成都LCD4.5G韩国东进世美肯合肥LCD6G住友化学北京LCD8.5G韩国东进世美肯京东方合肥LCD8.5G韩国东进世美肯重庆LCD8.5G韩国东进世美肯鄂尔多斯LCD5.5G韩国东进世美肯鄂尔多斯AMOLED5.5G韩国东进世美肯福州LCD8.5G韩国东进世美肯华星光电深圳T2LCD8.5GLG化学若出现排版错位,可加微信535600147,获取PDF版本 深圳T6LCD/AMOLED11GLG化学中电熊猫液晶南京LCD6G江化微南京LCD8.5G日本长濑三星苏州LCD8.5G韩国东进世美肯LGD广州LCD8.5GLG化学信利惠州AMOLED4.5G韩国东进世美肯惠科重庆LCD8.5G台湾三福化工来源:《2017-2022年中国湿电子化学品市场运营态势及战略咨询报告》、中泰证券研究所n国内面板产能扩张,驱动上游湿电子化学品市场高速增长。智研咨询数据显示,2016年,我国面板用湿电子化学品需求量达到37万吨,市场规模约为30亿元,随着面板厂商不断扩张产能,预计2016至2019年需求量复合年增长率为25%,则2019年需求量将达到71万吨,假设均价维持在8250元/吨不变,2019年市场规模将达到59亿元。图表377:显示面板湿电子化学品需求量(万吨)图表378:显示面板湿电子化学品需求金额(亿元)来源:智研咨询、中泰证券研究所来源:智研咨询、中泰证券研究所图表379:国内面板扩产项目公司名称地区面板类型世代月产能产能情况投产时间合肥LCD10.5G120在建2018Q2京东方成都柔性AMOLED6G24在建2017Q4成都柔性AMOLED6G24在建2018Q2绵阳柔性AMOLED6G48在建2019Q4华星光电武汉T4柔性AMOLED6G45规划2019年5月深圳T6LCD/AMOLED11G90在建2019年3月中电熊猫成都LCD8.6G120在建2018年7月中国电子彩虹集团咸阳LCD8.6G120在建2017年8若出现排版错位,可加微信535600147,获取PDF版本 月信利汕尾LCD5G50在建2018年年初若出现排版错位,可加微信535600147,获取PDF版本 江苏维信诺昆山AMOLED5.5G11在建2017年下半年黑牛食品固安AMOLED(含柔性)6G30在建2018年和辉光电上海柔性AMOLED6G30在建惠科重庆LCD8.5G60在建2017年投产富士康广州LCD10.5G90在建2019年华佳彩福州LCD6G30在建2017年下半年来源:《2017-2022年中国湿电子化学品市场运营态势及战略咨询报告》、中泰证券研究所n晶圆制造过程中,要反复通过十几次清洗、光刻、蚀刻等工艺流程,每次都需要湿电子化学品进行相关处理。从整个半导体集成电路的制作流程看,湿电子化学品主要用于半导体集成电路前段的晶圆制造及后端的封装测试环节。晶圆制造是属于技术要求高的环节,并且随着集成电路的集成度不断提高,要求线宽不断变小,薄膜不断变薄,相应需要技术水平更高的湿电子化学品才能满足工艺需求。同时,为了能够满足芯片尺寸更小、功能更强大、能耗更低的技术性能要求,高端封装领域所需的湿电子化学品技术要求也越来越高。后段用湿电子化学品主要应用于高端封装领域的清洗、溅射、黄光、蚀刻等工艺环节。图表380:湿电子化学品在晶圆制造中的应用来源:江化微招股说明书、中泰证券研究所n8寸以上市场国产化率仍有待提高。目前国内6寸及以下晶圆加工用的湿电子化学品,国产化率为80%,而8寸及以上晶圆加工的市场,国产化率仅为10%左右,综合来看,整体半导体晶圆制作用湿电子化学品的国产化率在25%左右,仍有较大提升空间。n产业转移带来下游需求增长,将带动半导体湿电子化学品市场维持高速增长。智研咨询数据显示,2016年,我国半导体用湿电子化学品需求量达到若出现排版错位,可加微信535600147,获取PDF版本 23.5万吨,市场规模约为22亿元,随着晶圆制造、封测、IDM厂商不断扩张产能,预计2016至2019年需求量复合年增长率为28%,则2019年需求量将达到44万吨,市场规模将达到41亿元。图表381:半导体湿电子化学品需求量(万吨)图表382:半导体湿电子化学品需求金额(亿元)来源:智研咨询、中泰证券研究所来源:智研咨询、中泰证券研究所n光伏太阳能领域对湿电子化学品的技术水平要求相对较低,目前国内光伏太阳能用超净高纯试剂已基本实现国产化。太阳能电池主要是一个大面积的半导体光电二极管,是一种由于光生伏特效应而将太阳光能直接转化为电能的电子元器件,能利用光电材料吸收光能后发生光电效应,将光能转换为电能。智研咨询预计,2016至2919年,光伏用湿电子化学品市场复合年增长率将维持在5%左右。图表383:湿电子化学品在光伏中的应用来源:江化微招股说明书、中泰证券研究所江丰电子:国产高纯靶材龙头,高端产品有望突破n公司是国内高纯溅射靶材龙头企业,主要产品包括钽靶、铝靶、钛靶、钨钛靶以及LCD用碳纤维支撑,到了美、日跨国公司的垄断,产品成功进入台积电、联电、中芯国际、华虹等知名厂商供应链,广泛应用于半导体、平板显示、光伏领域。若出现排版错位,可加微信535600147,获取PDF版本 n下游应用扩张,相应订单增加,公司业绩持续高速增长。2017年,公司营收达5.5亿元,同比增长24%,净利润6403万元,同比增长17%;2018年第一季度,公司营收达1.39亿元,同比增长20%,归母净利润达1311万元,同比增长37%。图表384:江丰电子营业收入(万元)图表385:江丰电子归母净利润(万元)来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n四大产品线全线增长。分产品来看,公司4大产品线收入已连续多年增长。2017年,钽靶收入1.46亿元,同比增长23.01%,占收入比达26%;铝靶收入1.42亿元,同比增长35.64,占收入比重26%;钛靶收入9679万元,同比增长28.60%,占收入比重18%;LCD用碳纤维支撑收入6797万元,同比增长7.27%,占收入比重12%。图表386:江丰电子产品结构(万元)图表387:2016(内圈)与2017(外圈)营收占比来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n综合毛利率维持稳定,铝靶毛利率持续提升。公司毛利率多年来稳定维持在30%以上,2018Q1毛利率为30.65%,净利率维持在10%左右,主要受产品结构调整影响。分产品来看,公司钽靶、钛靶毛利水平分布维持在40%、30%左右,铝靶毛利率不断提升,从2012年不到10%,迅速提升至2017年的27.39%。若出现排版错位,可加微信535600147,获取PDF版本 图表388:江丰电子利润率图表389:江丰电子各产品利润率来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n公司研发投入持续增长,关键技术不断突破。公司高度重视技术研发,研发投入逐年增长,2017年研发费用3249万元,同比增长20.85%。在高研发投入下,公司不断突破CFRP、CMP、28-14nm等各类产品之关键技术:n公司掌握了CFRP之总工艺,已于2017年下半年开始批量生产,并向平板显示器生产商批量供货;n公司引进相关技术人才,开发PVD、CMP用保持环、抛光垫等零部件,目前保持环、抛光垫已取得量产订单,CMP产品也获得了国产订单;n公司持续攻克28-14nm技术解读用钽靶、钛靶相关技术,部分产品已在客户端量产,16nm用钽环已量产,14nm用钛靶也已开始客户认证流程。图表390:江丰电子研发投入(万元)来源:Wind、中泰证券研究所晶瑞股份:深耕微电子化学品多年,打造电子制造上游龙头n晶瑞股份经过十数年深耕,已成为国内微电子化学品龙头。公司作为国内较早进入微电子化学品生产领域的企业之一,主导产品包括超净高纯试剂、光刻胶、功能性材料和锂电池粘结剂四大类微电子化学品,广泛应用于半导体、若出现排版错位,可加微信535600147,获取PDF版本 光伏太阳能电池、LED、平板显示和锂电池等五大新兴行业,具体应用到下游电子信息产品的清洗、光刻、显影、蚀刻、去膜、浆料制备等工艺环节。n外延并购助力业绩高速增长。公司于2017年9月,收购苏州瑞红少数股东权益;于12月增资江苏阳恒,持股比例达80%,纳入合并范围。2017年全年以及2018年第一季度营业收入分别为5.35亿元及1.62亿元,同比增长分别为22%和46%;分别实现归母净利润3618万、929万元,同比增长7%、43%。图表391:公司营业收入及增速情况(亿元)图表392:公司归母净利润及增速情况(万元)来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n四大产品全线增长,锂电池粘结剂占比提升。分产品来看,公司锂电池粘结剂维持高速增长,2017年收入1.88亿元,同比增长27%,占比达36%;超净高纯试剂、光刻胶与功能性材料业务在经历2015年的收入下滑后,全面回暖,2017年分别收入1.98、0.75与0.66亿元,同比增长18%、27%与31%,占收入比重达38%、14%与12%。图表393:公司营收结构(万元)图表394:2016(内圈)与2017(外圈)营收占比来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n光刻胶维持高毛利率,锂电池粘结剂毛利率回升。公司毛利率自2014年达到高点后,近年来持续下滑,主要由于低毛利率业务锂电池粘结剂占比提升若出现排版错位,可加微信535600147,获取PDF版本 所致。分产品来看,光刻胶由于行业壁垒较高,叠加分立器件用负胶占比提升,毛利率维持在50%以上的较高水平;超净高纯试剂由于原材料价格上涨,毛利率下滑至27%;功能性材料由于竞争加剧,毛利率略微下滑至28%;锂电池粘结剂由于核心原材料需要进口,加工业务毛利率较低,2017年毛利率有所回升至18.47%。图表395:公司毛利率以及归母净利率水平图表396:公司分产品毛利率水平来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n公司持续加大研发投入,2017年,部分研发项目进入后期致研发费用略有减少。2014年以来,公司不断加大研发投入,于2016年达到高点,2017年略减至2834万元,主要由于部分研发项目进入后期,相关研发费用减少所致。公司研发投入占收入比重一直维持在5%以上。图表397:公司研发投入情况(万元)来源:Wind、中泰证券研究所n拥有多项自主知识产权,产品研发屡获突破。截止2017年底,公司拥有32项发明专利、4项实用新型专利;公司参与制定了多项行业标准,包括起草并正式颁布国标标准1项、国家标准3项、行业标准15项,主持起草3项行业标准,参与编制SEMI标准1项;同时公司目前在多项产品攻关获得突破:n超净高纯试剂:双氧水重点技术攻关获得突破,提高了双氧水的稳定性,若出现排版错位,可加微信535600147,获取PDF版本 达到国际先进水平。n光刻胶:依托国际02专项,多款i线光刻胶技术开发完成,同时还有多款光刻胶产品也取得了重大进展。n功能性材料:成功开发了半导体先进封装用的钛钨蚀刻液,实现了进口替代。n公司生产的超净高纯试剂主要包括酸类中的氢氟酸、硝酸、盐酸、硫酸、乙酸,碱类中的氨水和有机溶剂类中的异丙醇等产品,以及双氧水。n公司超净高纯试剂普遍达到G4水平,双氧水更是达到G5水平。公司生产的硝酸、氢氟酸、氨水、盐酸、异丙醇等产品已经达到0.1ppb水平,相当于SEMIG4等级,可用于0.09-0.2微米的集成电路;拳头产品双氧水已经达到10ppt级别水平,相当于SEMIG5等级,可用于90纳米以下集成电路,处于国际先进水平。n公司湿电子化学品已进入各大知名厂商。超净高纯试剂方面,公司的电子级双氧水达到全球第一梯队的技术品质,正在稳步推动进口替代,国内8寸和12寸标杆性客户正在按计划推进,其中已在华虹完成测试,即将进入中芯国际产线测试。功能性材料方面,公司开发了系列光刻胶产品配套,目前已进入半导体制造厂商宏芯微、晶导微的供应商体系,同时,硅蚀刻液顺利通过国外客户的技术测试,并实现批量出口。图表398:公司超净高纯试剂收入(万元)图表399:公司功能性材料收入(万元)来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n公司在国内率先实现i线光刻胶量产。公司光刻胶产品由子公司苏州瑞红生产,主要为半导体用光刻胶和平板显示用光刻胶,包括紫外负型光刻胶和宽谱正胶及部分g线、i线正胶等高端产品。苏州瑞红承担了国家重大科技项目02专项“i线光刻胶产品开发及产业化”项目,在国内率先实现目前IC制造商大量使用的核心光刻胶即i线光刻胶的量产,产品采用步进重复投影曝光技术,可以实现0.35μm的分辨率。图表400:公司光刻胶收入(万元)若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所n公司光刻胶已进入多家知名厂商认证程序。公司光刻胶项目,依托国家02专项项目,已完成了多款i线光刻胶产品技术开发工作,并且在天津中芯、扬杰科技、福顺微电子等知名半导体厂通过单项测试和分片测试,取得了客户的产品认证。同时,苏州瑞红研发的RZJ-325系列光刻胶、高粘附性光刻胶RFJ-210G、TFT-Array光刻胶部分产品、厚膜光刻胶RZJ-T3520等光刻胶产品也取得重大进展将逐步推向市场。n公司通过内生外延逐渐完善布局。公司上市后,通过产能扩张、对外投资等合理扩张,逐渐完善了市场布局:n募投项目预计新增超净高纯试剂、光刻胶等新型精细化学品产能共计40000吨。n2017年8月与11月,通过受让于增资的方式,投资金匮光电2000.30万元,持股占比24.1%。n2017年8月,设立子公司眉山晶瑞,目前注册登记已完成,拟在成眉石化园区投资新建8.7万吨光电显示、半导体用新材料生产基地。未来公司募投项目及眉山项目的建成投产,将有效扩大公司既有业务的规模,提高业务收入。n2017年9月,以现金收购苏州瑞红少数股东权益,于2017年内收购完成,目前苏州瑞红已成为公司全资子公司。本次股权收购有助于整合公司业务板块,实现统一管理下的高效运作,为公司扩张业务规模、增强盈利能力打下坚实的基础,未来将更充分享受半导体和锂电池行业的发展红利。n2017年12月,公司对江苏阳恒73.9808%股权进行收购及增资,增资后持股比例为80%,于2018年2月收购完成。本次收购有利于公司完善超净高纯试剂产品线的布局。并且通过引入日本三菱化学株式会社的电子级硫酸提纯技术,可以提高细分产品的技术水平,与现有产品形成协同效应,有效提升公司的竞争力。中环股份:单晶材料龙头,收购国电光伏再度起航n国内半导体材料产业龙头企业。中环股份成立于1999年,致力于半导体节若出现排版错位,可加微信535600147,获取PDF版本 能和新能源两大产业,主导产品半导体区熔单晶-硅片综合实力全国第1,全球前3,国内市占率超过75%,全球市占率18%;太阳能高效硅片光电转换效率全球第1;太阳能高效单晶硅片市场占有率全球第1。公司光伏硅单晶研发水平全球领先,先后开发了具有自主知识产权且转换效率大于23%的高效N型DW硅片和转换效率25%、“零衰减”的CFZ-DW(直拉区熔)硅片。n近两年营收持续高增长,毛利率增长显著。2016-17年公司营收分别为67.8亿、96.4亿元,同比保持35%和42%的高增长;1Q18收入28亿元,同比增长达69%。2017年毛利率为19.9%,同比增加6个百分点,盈利水平提升十分显著。2017年净利润6.1%,同比增加0.1个百分点。图表401:公司2013-17年营业收入图表402:公司2013-17年毛利率和净利率若出现排版错位,可加微信535600147,获取PDF版本12010080604020037.3营业收入/亿元YOY35%28%67.847.750.46%45%96.442%40%35%30%25%20%15%10%5%0%25.0%20.0%15.0%10.0%5.0%0.0%毛利率净利率若出现排版错位,可加微信535600147,获取PDF版本19.9%15.2%14.9%13.9%12.4%6.0%6.1%4.2%2.1%2.8%2013201420152016201720132014201520162017来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n2017年公司收入结构中,新能源材料占比91%,半导体材料和半导体器件占比分别为6%和2%。占比最大的新能源材料毛利率较低,近三年分别为14%、12%和19%。半导体材料近三年毛利率为23%、15%和24%。电力毛利率最高,近三年达66%、62%和63%。图表403:2017年公司收入结构图表404:公司不同产品的毛利率新能源材料半导体材料半导体器件融资租赁新能源材料半导体材料电力1.1,1%若出现排版错位,可加微信535600147,获取PDF版本5.8,6%2.0,2%85.8,91%70%60%50%40%30%20%10%0%20102011201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n2012年起,公司携手苹果、SunPower及内蒙古、四川当地优势企业,利用若出现排版错位,可加微信535600147,获取PDF版本 丰富的太阳能资源和双方多项具有全球领先水平的技术,采用集本地化系统制造和电站开发于一体的商务模式,在内蒙古和四川分别开发建设7.5GW和3GW光伏电站综合项目,辐射全国并共同开发全球市场。n2015年5月,公司公告与与有研总院、晶盛机电签署了《半导体硅材料产业战略合作协议》,三方拟组建合资公司,合作半层体硅材料项目。此次合作推动了公司8英寸以上单晶项目发展,加强了公司在半导体硅材料领域的领先地位。n与扬杰科技合资投资建设宜兴封装基地。2018年6月公司与扬杰科技、宜兴经济技术开发区签订合作框架协议,公司与扬杰科技在宜兴成立合资公司,持股40%,负责封装基地的建设和运营,总投资规模约10亿元,本次合作有望增强公司半导体器件业务实力,提升产业整体竞争力。n收购国电光伏90%股权,高度契合双产业链布局。2018年7月公司成功以6.59亿元收购国电光伏90%股权,同时向包括中环集团在内的不超过10名特定投资者发行股份募集配套资金,用于国电光伏厂房及公辅设施的修复与维护,以及相关费用。国电光伏背靠国电集团,曾是全球较大的太阳能EPC总承包公司,具备较强的市场影响力。本次交易包括国电光伏宜兴基地内的土地1316亩,还包括房屋、道路等大量资产,收购后中环股份将大大加强半导体和光伏产业重镇无锡的战略布局,与公司的双产业链高度契合,并将进一步扩充产能,在与单晶龙头隆基的竞争中提升竞争力。封测:短期压力不改长期成长趋势封测行业:部分承压消费电子疲软叠加成本传导,整体仍呈稳定增长n半导体封测是半导体制造的后道工序,封装主要作用是将芯片封装在支撑物内,以增加防护并提供芯片和PCB之间的互联。封装作为半导体行业的传统领域,伴随着半导体的发展而推陈出新。封测行业的供需结构和整体发展也和整个半导体行业的发展紧密相关。而受益于半导体行业高速发展的联动效应,半导体封测行业也获得了提升的动力。n我们认为近年封测业部分承压消费电子疲软叠加基板、被动元件涨价等成本传导,增速有所放缓,但整体仍呈稳定增长。从全球封测行业市场规模来看,根据WSTS数据,2016年封装市场和测试市场的市场规模分别为406亿美元和101亿美元,总规模507亿美元;其中封装和测试占比分别为80%和20%,多年来占比保持稳定。据WSTS,2017-2018年全球封装市场和测试市场有望分别保持2.10%和2.40%的复合增长率,2018年的市场规模有望达到423亿美元和106亿美元。图表405:历年半导体出货量(十亿颗)图表406:2016-2020年全球封测市场规模(亿美元)若出现排版错位,可加微信535600147,获取PDF版本 来源:ICInsights,中泰证券研究所来源:ICInsights,中泰证券研究所全球封测产业格局清晰,大陆厂商加速赶超n封装产业属于规模经济产业,具有明显的规模效应,有大者恒大的趋势,而并购又是企业成长最快的一种方式。随着半导体产业进一步进入成熟期,封测行业并购不断的现象屡见不鲜,龙头企业强者恒强的趋势愈发凸显。n受惠于政策资金的大力扶持,我国封测企业逐步开启海内外并购步伐,不断扩大公司规模。政府无论在政策还是资金上均大力扶持国内封测企业通过并购扩大规模获得先进封装技术。其中长电科技联合产业基金、芯电半导体收购新加坡封测厂星科金朋,华天科技收购美国FCI,通富微电联合大基金收购AMD苏州和槟城封测厂,晶方科技则购入英飞凌智瑞达部分资产。国内封测厂商借助并购潮进入了实力显著提升的快车道,近年来通过外延并购和内生发展,国内封测厂实现了远超同行增长率的快速壮大,已经成为了全球半导体封测行业的重要力量。图表407:IC封测企业并购概况时间公司并购方式2014年华天科技并购FCI长电科技并购新加坡星科金朋晶方科技智瑞达2015年通富微电AMD苏州安靠J-Device2016年日月光并购全球第四大厂商矽品2017年安靠Nanium力成收购美光秋田来源:中泰证券研究所根据公开资料整理n大陆跻身第三,势能强劲。目前在全球封测行业市场中,中国台湾占比54%,美国17%,中国大陆12%,日韩新等国分享不到20%的市场份额。封测行业三足鼎立的局势已然形成。依据wind产业数据显示,2017年全球前三企业市占率46.1%,国内长电科技位居三强之列。全球封测前十大厂商台湾占据5家、中国3家、美国1家以及新加坡1家。若出现排版错位,可加微信535600147,获取PDF版本 图表408:2017全球封测企业营收占比(%)图表409:台湾半导体封测行业营收(百万美元)来源:wind,中泰证券研究所来源:wind,中泰证券研究所n台湾半导体封测产业已有30多年历史,凭借着先进的制程工艺和封装技术,以及长年累月的客户和经验积累,以日月光、矽品为领头羊的一代封测行业龙头涌现出来,在技术、产能和经营等方面的领导能力使得台湾半导体封测行业欣欣向荣,规模逐渐增大。在2014-2017年的全球封测行业代工市场中,台湾占比50%以上,稳居龙头宝座。n从半导体细分领域来看,国内厂商无论芯片设计(IDM或Fabless)或者是晶圆代工领域,在技术和规模上都和国际巨头有着显著差距,整体水平和市场影响力尚有限;反观封测行业,国内三巨头长电科技、华天科技、通富微电通过产业布局、扩产兼并、先进技术积累等一系列动作慢慢壮大,在全球行业中分别排名第3,第6,第7,成为国内半导体产业链中成熟度最高,破局势能最强劲的领域。图表410:大陆封测行业销售额(亿元)图表411:IC封测代工厂排名排名公司地区2017年营收(亿美元)2017市占率yoy1日月光台湾52.0719.20%6.40%2安靠美国40.6315.00%4.30%3长电科技中国大陆32.3311.90%12.50%4矽品台湾26.849.90%2.20%5力成台湾18.937.00%26.30%6华天科技中国大陆10.563.90%28.30%7通富微电中国大陆9.13.30%32.00%来源:半导体行业协会,中泰证券研究所来源:公司年报,中泰证券研究所n2017全球前10大专业IC封测厂商的排名与2016年相比几乎无异,前三名依次为日月光、安靠、长电科技。力成受惠于高性能运算应用与大数据存储内存需求提升,通过与镁光合作的进一步深化,以年营收成长26.3%的成绩位居第五。由排名可以明显看出,2017年国内封测巨头长电科技、华天科技、通富微电营收同比增长分别为12.5%,28.3%,32.0%,显著高于日月光、安靠等厂商的增长率,营收金额更是远远高于全球平若出现排版错位,可加微信535600147,获取PDF版本 均水平。这主要得利于国内政策的利好和大基金的扶持,国内封测厂大张旗鼓的并购整合,以及不断向先进封装技术演进的扎实修炼。图表412:中国和全球封测行业成长率对比来源:CSIA,中泰证券研究所技术不断演进是推动封测行业发展的主线逻辑n封装是指把芯片上的电路管脚,用导线接引到外部接头处,以便与其它器件连接。因为芯片必须与外界隔离,以防止空气中的粉尘杂质对芯片电路的腐蚀而造成电气性能下降甚至电气功能失效。封装形式是指安装半导体集成电路芯片用的外壳。它不仅起着安装、固定、密封、保护芯片及增强电热性能等方面的作用,而且还通过芯片上的接点用导线连接到封装外壳的引脚上,这些引脚又通过印刷电路板上的导线与其他器件相连接,从而实现内部芯片与外部电路的连接。图表413:早期封装示意图若出现排版错位,可加微信535600147,获取PDF版本 来源:公开资料,中泰证券研究所n20世纪70年代为通孔插装器件时代。主流的封装形式为通孔器件和插入式器件,包括DIP(双列直插式)和PGA(针栅阵列),器件的电气和机械联接分别通过机械接触和波峰焊接来实现。由于这类插装器件要求具备高对准度,但是又受到当时的工作条件所限,使得封装速率始终难以提高。n20世纪80年代出现了SMT(表面贴装技术)。以PLCC(塑料有引线片式载体)和QFP(四边引线扁平封装)为代表,与传统的插装式不同,集成电路是通过将一些细微的引线贴装在PCB板上,其电气特性得到了提高,并且生产的自动化程度与70年代相比有了大幅的提升。此外,它还具有密度高、引线节距小、成本低和适于表面安装的优点。n20世纪90年代为BGA(球栅阵列封装)和CSP(芯片尺寸封装)时代。在这个时期,集成电路规模飞速发展,引线间距不断减小,以至于到后来在工作过程中达到技术所能支撑的极限。在这种情势下,BGA的出现在很大程度上解决了遇到的问题。它以面阵列、焊球凸点为I/O引脚,大大提高了封装的密度,进入了爆炸性发展时期。n而第四代封装技术在21世纪10年代逐步涌现出来,以高度集成化、小型化为主要特征,以FOWLP、SIP、3DTSV为主要代表,在凸点技术和瞳孔技术的基础上,进一步提升封装系统的高性能对集成化。图表414:微电子封装技术演进若出现排版错位,可加微信535600147,获取PDF版本 来源:公开资料,中泰证券研究所图表415:封装各部分发展进程封装特点发展进程结构TO->DIP->PLCC->QFP->BGA->CSP材料金属、陶瓷->陶瓷、塑料->塑料引脚长引线直插->短引线或无引线贴装->球状凸点装配通孔插装->表面组装->直接安装来源:传感器技术,中泰证券研究所聚焦先进封装技术——FOWLP、SIP、3DTSVn随着电子行业日益往高集成度、小型化、低功耗、高密度化方向发展,微电子封装一方面朝着高集成度、高频率、大功率、低成本的方向发展,另一方面,新工艺和新材料的不断涌现,也使微电子封装朝着更节能、更环保和更持久的道路前进。图表416:不同先进封装结构的解决方案来源:ICInsight,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 n以先进封装技术来说有两种发展途径,一方面是随着集成电路的制程能力不断进步,封装尺寸需要减小,主要技术有WLCSP、FC、Bumping、Fanout等;另一方面是多功能化趋势,由单功能向异质融合演进,主要技术包括TSV、SIP、3D封装等。n受益Apple芯片封装,FOWLP需求井喷。扇出型晶圆级封装的英文全称为Fan-OutWaferLevelPackaging,即FOWLP,是指将来自于异质制程的多颗晶粒结合到一个紧凑封装中的新方法。FOWLP封装最早在2009~2010年由Intel提出,仅用于手机基带芯片封装。由于对更薄功能和增加I/O数量设备的需求,扇出式WLP受到越来越多的关注。n晶圆级封装主要分为Fan-in和Fan-out两种。传统的WLP封装多采用Fan-in型态,应用于低接脚(Pin)数的IC。当芯片面积缩小的同时,芯片可容纳的引脚数减少,因此变化衍生出扩散型(Fan-out)WLP封装形态,实现在芯片范围外充分利用RDL做连接,以此获取更多的引脚数。在一个环氧行化合物(EMC)中嵌入每个裸片时,每个裸片间的空隙有一个额外的I/O连接点,这样I/O数会更高并且的对硅利用率也有所提高,使互连密度最大化,同时实现高带宽数据的传输。图表417:日月光FOWLP技术流程来源:日月光,中泰证券研究所n相比于扇入型封装技术,FOWLP的优势在于:减小了封装厚度、扩展能力(用于增加I/O数量)、改进的电气性能、良好的热性能以及无基板工艺。扇出WLP在结构上类似于传统的球栅阵列(BGA)封装,但是消除了昂贵的衬底工艺。图表418:扇出型晶圆级封装大幅减小占位面积若出现排版错位,可加微信535600147,获取PDF版本 来源:公开资料,中泰证券研究所n随着苹果(Apple)于2016年在应用处理器(ApplicationProcessor,AP)上采用扇出型晶圆级封装技术,带动该封装技术市场急速扩大,预计到2020年将会有超过5亿颗的新一代处理器采用FOWLP封装制程技术,并且在未来,每一部智能型手机内将会使用超过10颗以上采用FOWLP封装制程技术生产的芯片。根据ICInsight预计在未来数年之内,利用FOWLP封装制程技术生产的芯片,每年将会以32%的年成长率持续扩大其市场占有,到达2023年时,FOWLP封装制程技术市场规模相信会超过55亿美元的市场规模,并且将会为相关的半导体设备以及材料领域带来22亿美元以上的市场潜力。图表419:2014-2020年FOWLP市场规模及增速(百万美元)来源:联合网,中泰证券研究所nFoWLP封装技术未来将主要朝着两个方向发展,一个是以手机基带处理器、电源管理和射频收发器等芯片为主,在这些模片上嵌入一些异构设备,从而实现最新一代的超薄可穿戴和移动无线设备,这将会是若出现排版错位,可加微信535600147,获取PDF版本 FoWLP封装技术需主要稳固的市场。而另一个则是高密度FoWLP封装,主要针对内存和应用处理器等具备大量I/O引脚的芯片,这方面还有很多技术难点亟待突破。基于上述优势,在不断稳固既有市场和突破技术瓶颈的基础上,FOWLP有望成为下一代高性能、高集成化设备的核心技术。超越“摩尔定律”,SiP封装气势如虹n系统级封装(SiP)是IC封装领域的最高端的一种新型封装技术,将一个或多个IC芯片及被动元件整合在一个封装中。与SoC将系统所需的组件高度集成到一块芯片上的做法不同,SiP是从封装的立场出发,对不同芯片进行并排或叠加的封装方式,将多个具有不同功能的有源电子元件与可选无源器件,以及诸如MEMS或者光学器件等其他器件优先组装到一起,实现一定功能的单个标准封装件。图表420:SiP架构来源:半导体协会,中泰证券研究所n将不同用途的集成电路芯片以集成电路封装手段进行整合,可将原有的电子电路减少70%~80%以上,整体硬件平台的运行功耗也会因为PCB电路板缩小而减少。产品在整体功耗、体积等方面获得改善;将原本离散的功能设计或元件整合在单一芯片内,不仅可以避免设计方案被抄袭复制,也能透过多功能芯片整合的优势让最终产品更具市场竞争力。nSiP的应用非常广泛,主要包括:无线通讯、汽车电子、医疗电子、计算机、军用电子等。SiP是理想的解决方案,综合了现有的芯核资源和半导体生产工艺的优势,降低成本,缩短上市时间,同时克服了SOC中诸如工艺兼容、信号混合、噪声干扰、电磁干扰等难度。图表421:SiP各应用领域产值占比若出现排版错位,可加微信535600147,获取PDF版本 来源:半导体协会,中泰证券研究所nSiP气势如虹,市场规模提升迅速。我们预计,SiP在智能手机中的渗透率将从2016年的10%迅速提升到2018年的40%。在轻薄化趋势已经确定的情况下,能完美实现轻薄化要求的SiP理应会得到更多的应用。2016年全球系统级封装市场规模为54.4亿美元,到2023年有望达90.7亿美元,2017年到2023年期间的复合年增长率为9.4%。除电子设备小型化需求增长的推动以外,物联网(loT)的影响、投入市场的周期变短也是驱动因素。图表422:SIP市场规模(亿美元)来源:麦姆斯咨询,中泰证券研究所先进封装继续延伸,3DTSV方兴未艾n叠层芯片封装技术,简称3D封装,是指在不改变封装体尺寸的前提下,在同一个封装体内在垂直方向放置两个或两个以上芯片的一种封装技术,起源于快闪存储器和SDRAM的叠层封装。3D封装经历了丝焊、倒装芯片、通孔三个重要的历史时期,TSV被称作第四代3D封装技术。图表423:3D封装重要节点若出现排版错位,可加微信535600147,获取PDF版本 来源:公开资料,中泰证券研究所nTSV即穿透硅通孔技术是利用垂直硅通孔完成芯片互连的方法,以连接距离短、密度高、性能好等特点备受青睐。以TSV穿硅互联技术为核心的3D集成技术主要影响的是芯片之间的互联结构,因此这种技术主要减小的是芯片间互联需用的电路板面积。这种技术一般是采用将多块存储或逻辑功能芯片垂直堆叠在一起,并将堆叠结构中上一层芯中制出的TSV连接在下层芯片顶部的焊垫(Bondpad)上的方式来实现。不过此时堆叠结构中的每一层芯片都采用独自的设计,仍为传统的二维结构,因此每一层芯片内部的电路级互联仍为传统的二维设计。单片型3D技术实现的关键在于如何将各层功能单元转换到单片3D堆叠结构之中去,其采用的方法非常类似于Soitec在制作SOI晶圆时所采用的SMARTCUT技术。由于单片3D堆叠芯片中的过孔只需要从各层功能单元的有源层——简单说就是晶体管中覆盖在栅绝缘层之下的部分穿过,因此其尺寸要比常规的TSV小得多,仅比2D芯片顶部互联层的尺寸大3倍左右。图表424:TSV技术示意图来源:公开资料,中泰证券研究所n随着消费电子设计降低到45nm甚至更小节点,为了在芯片内塞进更多功能,3D封装应运而生。手机是加速开发3D封装的主动力。手机已从低端向高端发展,要求体积小,重量轻且功能多。为此,高端手机用芯片必须具有强大的内存容量,于是诞生了芯片堆叠的封装(SDP),如多芯片封装(MCP)和堆叠芯片尺寸封装(SCSP)等;另外,在2D封装中需要大量长程互连,导致电路RC延迟的增加。为了提高信号传输速度,必须降低RC延迟。可用3D封装的短程垂直互连来替代2D封装的长程互连。若出现排版错位,可加微信535600147,获取PDF版本 图表425:高性能3DTSV产品演进图来源:YOLE,中泰证券研究所n由于智能手机中不断增长的图像传感器数量,以及计算领域的需求增长,未来五年,预计12英寸等效晶圆的复合年增长率可达20%,将从2016年的130万片增长至2022年的400万片。TSV技术在低端产品市场的渗透率将保持稳定,主要增长动力源自智能手机前端模组中的RF滤波器,RF滤波器将持续增长,以支持5G手机通讯协议中不同的频带需求。图表426:2016~2022年3DTSV和2.5D市场预测来源:YOLE,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 nTSV是目前封装密度最高的技术之一,是目前最先进的封装工艺。3D封装改善了尺寸、重量、速度、产量及耗能等芯性能,被大多半导体厂商认为是最具有潜力的封装方法。随着先进封装的触角不断延伸至高性能、高密度化集成化的先进技术,3DTSV未来有望成为先进封装未来发展的持续性动力。先进封装引领潮流,国内市场有望受益n半导体封装有传统封装和先进封装两种。随着先进封装规模的不断扩大,占比有逐渐接近并超越传统封装的趋势。对于半导体行业来说,封测不再仅是以往单独代工环节,而是与设计、材料设备相结合的一体化解决方案。因此,先进封装对于半导体封测领域意义越来越大。根据YoleDevelopment预测,全球先进封装市场将在2020年时达到整体集成电路封装服务的44%,年营业收入约为315亿美元;中国先进封装市场规模将在2020年达46亿美元,复合年成长率为16%。移动领域仍然是先进封装的主要市场,如智能手机和平板电脑等终端产品。图表427:中国先进封装市场规模(百万美元)图表428:中国先进封装产量(百万片)来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n半导体先进封装规模持续增长。依据麦姆斯咨询报告,2016~2022年期间先进封装产业总体营收的复合年增长率(CAGR)预计可达7%,超过了总体封装产业(3~4%)、半导体产业(4~5%)、PCB产业(2~3%)、全球电子产业(3~4%)以及全球GDP(2~3%)。Fan-out(扇出型)是增长速度最快的先进封装平台,增长速度达到了36%,紧随其后的是2.5D/3DTSV平台,增长速度为28%。至2022年,扇出型封装的市场规模预计将超过30亿美元,而2.5D/3DTSV封装的市场规模到2021年预计将达到10亿美元。大陆封测企业逐步向高端迈进n随着2017年移动通讯电子产品需求量上升,带动高I/O数与高整合度先进封装渗透率,同时也提升市场对于封测产量、质量的要求,全球IC封测产值摆脱2016年微幅下滑状况,2017年产值年成长2.2%,达517.3若出现排版错位,可加微信535600147,获取PDF版本 亿美元,其中专业封测代工(OSAT)占约整体产值的52.5%。n依据前瞻研究院统计,2016年中国大陆有超过100家企业涉足封测产业,其中本土企业或内资控股企业28家左右,其余为外资、台资及合资企业,主要集中在长江三角洲、珠江三角洲、京津环渤海湾地区。这些外资多为国际大型IDM厂商在中国大陆投资设立的后段封测厂,其无论在规模上还是技术水平上都具有主导地位,但这些IDM厂主要封测自家产品,彼此间并无竞争关系。且随着IDM逐渐将封测业务委外,订单逐步释放,中国大陆承接封测产业的转移,内资企业营收预计将保持较快增长。图表429:IC封测企业大陆封测厂分布(不完全统计)公司大陆封测工厂封装产品服务日月光上海,山东威海,江苏昆山SIP/DIP、SOP/SOIC、QFP、DFN/QFN、LGA/BGA、FCQFN、FCLGA/FCBGA/FCCSP安靠上海TSOP、DFN,LGA,FCLGA/FCBGA/FCCSP,WLCSP长电科技江苏江阴,江苏宿迁TSOP,SIP/DIP,SOP/SOIC,DFN/QFN,LGA/BGA,FCQFN,FCLGA/FCBGA/FCCSP,SOT,MIS,PDFNWB,PLCC,EWLB,FCSOIC,FCSOT,TO力成江苏苏州BGA,DFN,TSOP,QFN,华天科技甘肃天水,江苏昆山,陕西西安BGA,DFN,TSSOP,QFN,LGA,DIP/SIP,FCDFN,FCQFN通富微电江苏南通,安徽合肥SIP/DIP,SOP/SOIC,SOT,TO,DFN/QFN,LGA/BGA,FCSOT,FCLGA/FCBGA/FCCSP来源:公司年报,中泰证券研究所n当前中国大陆三强通过并购快速跻身全球前十大企业,其先进封装技术水平和海外基本同步,BGA、WLP、SiP等先进封装均已实现量产。图表430:IC封测企业先进封装技术对比公司WLCSPTSVSIPBumpingFCFanout日月光√√√√√√安靠√√√√√长电科技√√√√√√矽品√√√√√√华天科技√√√√√通富微电√√√来源:公开资料,中泰证券研究所n国内封测四强企业通过自主研发和兼并收购,技术能力基本与国际先进水平接轨,先进封装的产业化能力也已基本形成。国内三大封测厂目前若出现排版错位,可加微信535600147,获取PDF版本 基本掌握了16/14nm的FC倒装封装技术。长电科技通过并购星科金朋获得用于智能手机处理器的FC-POP封装技术;通富微电通过并购AMD苏州和滨城封测厂获得高脚数FC-BGA封装技术;长电科技目前集成度和精度等级最高的SiP模组在国内和韩国工厂已实现大规模量产,Fan-out扇出型晶圆级封装累计发货超过15亿颗,其全资子公司长电先进已成为全球最大的集成电路Fan-inWLCSP封装基地之一;华天科技的TSV+SiP指纹识别封装产品成功应用于华为系列手机。晶方科技已成为全球最大的影像传感器WLP晶圆级封装基地之一。依据《2016年度中国IC封装测试产业调研报告》,国内部分主要封测企业的集成电路产品,先进封装产品占比达到40%~60%。n接下来我们重点围绕大陆封测三雄长电科技、通富微电、华天科技以及海外龙头日月光(矽品)、安靠、力成进行分析,同时我们也建议重点关注以晶方科技为代表的光学封装企业!长电科技:国产封测龙头,期待反转到来n2017年曙光初现,今年重点关注星科金朋韩国、新加坡整合进展。2017年公司营业收入239亿元,同比增长24.54%;归属上市公司股东净利润3.43亿元,同比增长222.89%。原长电营收和利润均创新高,营收同比增长15.66%,净利润同比增长46.05%,这得益于公司积极拓展市场,快速增加产销量所致。星科金朋17年四季度营收环比增长近50%,FC-CSP产量创历史新高全年经营业绩与上年同期相比基本持平。图表431:长电科技收入规模及增长(亿元)图表432:长电科技净利润规模及增长(亿元)来源:wind,中泰证券研究所来源:wind,中泰证券研究所n产品布局合理,研发能力出众,封装技术和规模化生产能力是公司核心竞争力!公司在江阴、新加坡、韩国仁川,以及宿迁和滁州拥有6处生产基地,封测服务产品涵盖了高中低等全方位的集成电路封测范围,并且在全球主要的半导体市场形成了完整的生产、研发和销售布局。截止2017年公司已获得专利3504件,其中发明专利2743件(在美国获得的专利为1758件),研发能力覆盖中高端封测领域。公司在高端封装技术(如Fan-outeWLB、WLCSP、SiP、BUMP、PoP等)方面处于全球若出现排版错位,可加微信535600147,获取PDF版本 领先地位,在先进封装晶圆份额方面以7.8%位列第三。图表433:长电科技厂区分布来源:长电科技,中泰证券研究所n先进封装引领高端封测市场,Fan-out和SiP技术迎来重要战略机遇期。扇出型封装技术具有潜力巨大的高密度市场和增长稳定的核心市场,根据Yole预测,2023年FOWLP封装制程技术市场规模会超过55亿美元,并且有望为相关的半导体设备以及材料领域带来22亿美元以上的市场潜力。手机基带处理器、内存、电源管理和射频收发器等强烈需求将成为FOWLP封装最稳固的下游市场!对于SiP系统级封装技术,2016年全球市场规模为54.4亿美元,到2023年有望达90.7亿美元,2017年到2023年期间的复合年增长率为9.4%。除电子设备小型化需求增长的推动以外,物联网(loT)、5G、智能手机、医疗等方面也成为SiP封装的核心驱动力。图表434:SIP市场规模(亿美元)图表435:FOWLP市场规模及增速(百万美元)来源:MEMS咨询,中泰证券研究所来源:联合新闻网,中泰证券研究所n收购星科金朋,迈进先进封装技术行列。星科金朋拥有eWLB(嵌入式若出现排版错位,可加微信535600147,获取PDF版本 晶圆级球栅阵列)、TSV(硅通孔封装技术)、3D封装、SiP(系统级封装)、PiP(堆叠组装)、PoP(堆叠封装)等代表行业未来发展趋势的先进封装技术。整合星科金朋重点关注在晶圆级扇出型封装(FOWLP)和系统级封装(SiP)两个领域,目前公司eWLB技术和高阶SiP技术已经赶超国际同行达到世界领先水平。先进封装技术有较大前景,未来公司有望在更大的发展空间及应用市场中受益。2017年年报显示星科金朋整合进展顺利,并在不断优化客户结构;且通过收购掌握全球领先的Fan-outeWLB和Sip封装技术,加上与晶圆代工龙头中芯国际的绑定,使得公司未来受益于大陆半导体崛起的确定性高,产能利用率回升,收购价值逐步显现。随着SiP等先进封装的渗透率逐渐提升以及星科金朋的盈利能力改善,作为国内领先的封测龙头企业,长电科技仍将持续获益。图表436:长电科技2017收入结构图表437:长电科技毛利率与净利率来源:wind,中泰证券研究所来源:wind,中泰证券研究所通富微电:前瞻布局产业重镇,各大厂区逐步释放n前瞻布局合肥、厦门产业重镇,六大厂区逐步迎来释放期。通富微电拥有总部崇川工厂、苏通工厂、合肥通富微电子有限公司(合肥通富)、苏州通富超威半导体有限公司(TF-AMD苏州)、TFAMDMicroelectronics(Penang)Sdn.Bhd.(TF-AMD槟城)以及在建的厦门通富微电子有限公司(厦门通富)六大生产基地。公司目前的封装技术包括Bumping、WLCSP、FC、BGA、SiP等先进封测技术,QFN、QFP等传统封装技术以及汽车电子产品、MEMS等封装技术。目前全球前10大FABLESS有5家成为其客户,包括AMD、MTK、ST、TI、英飞凌等优质龙头。图表438:通富微电收入规模及增长(亿元)图表439:通富微电净利润规模及增长(亿元)若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所来源:wind,中泰证券研究所n三大市场共同增长,业务规模稳步提升。2017年年报显示,在2016年高速增长的基础上,继续取得较大幅度增长;2017年,未合并通富超威苏州、通富超威槟城的销售收入35.64亿元,同比增长25.05%;合并通富超威苏州、通富超威槟城的销售收入达到65.19亿元,较2016年增长41.98%。1、三大市场共同增长。亚太市场销售额同比增长33%,占销售总额的19%;欧美市场销售额同比增长15%,占销售总额的64%;国内市场销售额同比增长60%,占销售总额的17%。2、产品销售业绩方面,新老产品全面增长。BGA、FC、WLP产品增速分别达到40%、30%、53%;传统产品在基数大的情况下仍有可喜的增长,其中,QFP产品增速达44%。3、市场拓展方面,客户结构更加完善,各区域深挖重点客户、开发新客户。主要应用领域及终端市场为无线充电、高速光模块、Wifi、指纹识别、4GPA、SSD主控芯片、通用模拟、触控、高性能计算、安防监控主芯片、AMOLED驱动等。大基金承接日方股东富士通股份,后续合肥基地有望承担起存储及驱动芯片领域封测重任。图表440:通富微电2017收入结构图表441:通富微电毛利率与净利率来源:wind,中泰证券研究所来源:wind,中泰证券研究所n积极新建产能,业务稳健增长。崇川厂全面覆盖中高低端产品,生产效率不断得到提升,18年切入矿机业务产品结构提升;苏通厂定位崇川厂若出现排版错位,可加微信535600147,获取PDF版本 中高端产品的转移;合肥厂谋求未来存储器及驱动芯片封测的强力驱动。通富超威苏州、通富超威槟城积极应对AMD订单,成功开发了7nmwafernode技术,多项新产品成功量产,同时导入多家知名新客户。随着先进封装渗透率提升、AMD客户导入以及未来募投产能释放,公司未来业绩有望持续增长。晶方科技:传感封装细分龙头,关注光学拓展n晶方科技持续专注于传感器领域的先进封装业务,重点关注公司在光学工艺领域拓展。目前公司已经具备了8英寸、12英寸晶圆级芯片尺寸封装技术规模量产封装能力,成为全球晶圆级芯片尺寸封装服务的主要提供者与技术引领者。其封装产品主要包括影像传感器芯片、生物身份识别芯片、微机电系统芯片(MEMS),环境光感应芯片、医疗电子器件、射频芯片等,产品广泛应用于汽车电子、消费电子、通信和医疗等诸多高增长领域。主要客户包括格科微、SKHynix、豪威科技等。n公司业绩稳步回暖,未来持续增长可期。2017年公司实现销售收入62,878万元,同比上升22.71%;实现营业利润10,669万元,同比上升174.12%;实现净利润9,569万元,同比上升81.39%。2015-2016年由于消费电子需求趋缓以及产能利用率的下降,导致了公司营收和利润的同比下降,但随着公司逐步关注规模增长、持续进行工艺迭代开发,2017年以来公司业绩回暖明显,基本面向好,未来业绩有望持续增长。图表442:晶方科技营收(亿元)图表443:晶方科技净利润(亿元)来源:wind,中泰证券研究所来源:wind,中泰证券研究所n晶方科技自2005年引进以色列shellcase(EIPAT前身)相关专利进行产业化,通过完善封装技术、扩充产能、引入OV等新客户在CIS晶圆级封装领域建立核心优势。目前公司同时具备8寸、12寸晶圆级芯片尺寸封装技术规模量产封装能力,CIS方面自主开发针对高像素产品的先进封装技术(TSV/FANOUT/SIP)和双摄产品。同时指纹识别与MEMS封装领域也拓展开发出“去基板+TSV”与正压型气密性晶圆级键合等技术,出货稳定。图表444:公司产品营收拆分若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所n我们认为未来公司新的增长点有望来自3Dsensing与汽车级CIS两大领域。我们此前多次强调3Dsensing核心工艺难点在于VCSEL/EEL激光器和WLO/DOE相关工艺两大领域,产业来看目前DOE堆叠与切割主要由精材科技完成(pattern和ITO分别由台积电、采钰完成),WLO主要由AMS旗下Heptagon主导。晶方科技深耕WLCSP领域十余年,掌握大量DOE/WLO相关工艺know-how,我们认为今明两年公司这一领域产业化有望推进。此外公司在汽车级CIS领域布局已久,根据年报与车载摄像头客户已经陆续开展验证、出货,随着车载摄像头加速渗透,汽车级CIS业务有望迎来突破。n强化知识产权体系布局,创新力量稳固公司行业地位。引进光学型晶圆级芯片尺寸封装技术、空腔型晶圆级芯片尺寸封装技术,自主独立开发超薄晶圆级芯片尺寸封装技术、硅通孔封装技术、扇出型封装技术、系统级封装技术及应用于汽车电子产品的封装技术等,公司作为全球12寸晶圆级封装技术的领头羊,以外延整合与内部创新构建起强有力的知识产权体系。仅2017一年,公司就成功开发了TSV-PRO、超薄指纹、屏下指纹等先进工艺,同时积极加强生物识别封装、3D成像等新兴技术的研发投入,公司在知识产权方面的强化布局促进研发创新的持续推进,有望提升公司在细分领域的龙头地位。图表445:公司传感器布局领域若出现排版错位,可加微信535600147,获取PDF版本 来源:公司官网,中泰证券研究所国内上市标的梳理(一)兆易创新:17竞争力上新台阶,迎接18全面开花!n兆易创新作为国内存储及物联网芯片龙头企业,在2017年“硅片剪刀差+第四次硅含量提升周期”驱动下实现快速增长!2017公司实现营收同比增长36.32%至20.3亿元,归母净利润同比增长125%至3.97亿元。1Q18营收5.42亿元,同比增长19.7%,净利润0.9亿元,同比增长28.6%。图表446:兆易创新营收及增速图表447:兆易创新净利润及增速若出现排版错位,可加微信535600147,获取PDF版本10987654321.410营业收入/亿元YOY76%64%5.24.22.525%9.090%80%71%70%60%50%40%30%20%10%0%1.81.61.41.21.00.80.60.40.20.00.50.5净利润/亿元YOY0.90.850%16%4%1.7100%88%90%80%70%60%50%40%30%20%10%0%若出现排版错位,可加微信535600147,获取PDF版本2013201420152016201720132014201520162017来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n受益产品结构升级及2017年NORFlash超级景气周期,公司2017年盈利质量显著提升。公司全年毛利率/净利率为39.2%/19.6%,较2016年分别大幅提升12.5和7.9个百分点!1Q18毛利率/净利率为38.2%/16.6%。同时经营性现金流同比提升136%至1.98亿元,提升幅度超过归母净利润同比增幅,再次反应盈利质量提升!若出现排版错位,可加微信535600147,获取PDF版本 图表448:兆易创新毛利率净利率情况图表449:兆易创新净利润与经营性现金流情况若出现排版错位,可加微信535600147,获取PDF版本70.0%60.0%50.0%40.0%30.0%20.0%10.0%0.0%毛利率净利率58.1%55.9%57.6%54.1%46.7%34.4%20.2%18.5%17.2%18.9%201320142015201620172.01.5单位:亿元1.00.50.0-0.5净利润经营性现金流若出现排版错位,可加微信535600147,获取PDF版本1.71.20.80.90.50.50.50.40.420132201520162017-0.4014来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n持续加大研发投入,提升转换效率,老产品升级、新产品放量!公司2017年持续加大研发投入,通过在NORFlash及MCU领域开发升级新产品以及自研NANDFlash放量丰富自身产品线,转化效率高:ØNORFlash:高容量256Mb产品已经实现量产,低容量通过工艺迭代costdown,工艺方面加大先进工艺节点55nm和45nmNORFlash技术产品研发;ØMCU:针对高性能和低功耗应用分别开发新产品。高性能M4系列产品实现量产,在指纹识别、无线充电等新型热门领域取得广泛应用。更低功耗M3系列产品推出,继续保持M3产品市场的领先优势。同时积极扩展应用生态,融入国内主要物联平台,为后续产品应用发展奠定良好基础;ØNANDFlash:自研38nm产品已实现量产,具备业界领先的可靠性,24nm研发推进顺利,公司将具备更好的产品成本优势、提供更高产品容量范围,进一步扩展产品组合。图表450:公司老产品持续升级、新产品放量来源:公司公告,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 n旺季来临,公司在手存货大幅提升,重点关注2018年公司如何高质量提升份额!从期末存货余额来看,17Q3Q4公司持续环比提升,Q4环比提升25%至6.27亿元。行业景气周期持续背景下,我们建议重点关注2018年公司如何利用手中充足存货高质量的完成自身份额提升!图表451:兆易创新期末存货余额情况(亿元)若出现排版错位,可加微信535600147,获取PDF版本期末存货余额(亿元)环比增速6.86.325%22%5.04.14.04.18%3%-2%87654321016Q417Q117Q217Q317Q418Q130%25%20%15%10%5%0%-5%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所n亮剑DRAM,正式进军DRAM存储器领域,国产存储旗舰起航,预计年底量产成功,目前合肥DRAM项目进入试产阶段,进展顺利,有望超预期!公司与合肥产投签署合作协议,约定双方在安徽省合肥市经济技术开发区合作开展工艺制程19nm存储器的12英寸晶圆存储器(含DRAM等)研发。项目预算约为180亿元人民币,公司与合肥产投依据1:4负责筹集资金,正式进军DRAM项目,目标是在2018年12月31日前研发成功,即实现产品良率(测试电性良好的晶片占整个晶圆的比例)不低于10%。存储器—国家战略,存储器是半导体产业的重要领域,占比超过30%,在企业级存储、消费级存储容量快速提升等因素驱动下,未来5年以上将保持超高成长性。大力发展存储器也是信息安全和产业安全的战略需要,参考日本、韩国半导体崛起的经验,存储器领域也是最佳切入点!n7月16号,长鑫存储存储器项目首次投片总结大会在合肥召开,这是中国半导体产业一个重要的里程碑,在历来半导体主战场的存储芯片领域,合肥长鑫作为大陆第一家存储大厂宣布正式投片。n2018年对中国具有战略意义的年份,经济的转型、核心科技的推进,中国开始进入以科技创新发展为推动力的新经济周期,以科技红利为核心,具备万亿市场,顺应技术发展潮流的优质赛道冠军,将具备长期大市值空间,存储芯片作为战略至高点,以数据为核心的创新是科技进步的主流,无论算力提升以及数据的需求,DRAM作为半导体第一大赛道,合肥长鑫正式流片、新的里程碑,值得期待。n集成电路、实体第一,存储芯片占比半导体第一,合肥长鑫作为三大存储芯片厂商中第一家正式投片的dram大厂,进展顺利。集成电路、实体第一,SIA全球数据显示,2018年5月存储芯片占全球半导体32%,位居第一,存储芯片作为芯片之首,特别是在中兴通讯事件之后,国家若出现排版错位,可加微信535600147,获取PDF版本 在核心技术的投入将持续加大,上周中央财经会议再次强调切实提高关键核心技术创新能力,攀登科技高峰,芯片作为核心技术至高点,需要半导体体人的共同努力。为了发展战略之存储芯片,三大存储芯片厂开始投入,合肥长鑫作为通用型19nmDRAM,福建晋华做利基型25nm,长江存储做3dnand。(二)三安光电:LED强者恒强,化合物半导体再展宏图!n多年发展完善产业布局。三安光电主要从事全色系超高亮度LED外延片、芯片、化合物太阳能电池及Ⅲ-Ⅴ族化合物半导体等的研发、生产与销售。目前公司已经形成LED外延/芯片、化合物半导体、蓝宝石/碳化硅衬底以及车灯/LED封装完备产业布局,历经多年发展公司形成8大研发及生产基地。图表452:三安光电完善的产业布局来源:三安光电官网及年报,中泰证券研究所n受益2017年行业景气度,营收利润稳健快速增长。2017年前三季度LED芯片行业供不应求,行业处于量价齐升的景气周期,下游需求驱动因素主要来自照明、小间距显示以及新的LED显示技术,其中照明市场增速约为20%,小间距显示市场增速50%。受益行业景气2017年公司实现营收83.9亿元,同比增长33.8%,实现归母净利润31.6亿元,同比增长46%。图表453:公司近年营收情况图表454:公司近年归母净利润情况若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所来源:wind,中泰证券研究所n产业基金持续入股,国开行战略合作助力公司业务拓展。国家集成电路产业大基金入股三安光电,将有利于为公司提供各种资源保障,通过产业链整合、海内外并购,进一步推动公司做大其III-V族化合物半导体业务,加快国际化发展步伐和产业链一体化布局。2015年三安集团将其持有的三安光电2.17亿股股份(约占总股本的9.07%)转让给国家集成电路产业基金,此后大基金持股持续提升至4.6亿股(占比11.3%)。与华芯投资开展25亿元合作,设立III—V族化合物集成电路发展专项基金三安集成承担的HEMT芯片生产线获中央预算投资补助5000万元2013年-2016年,公司MOCVD机台从160台增加到接近300台,其间收到的资产相关补助合计22.54亿元大力发展III—V族化合物半导体材料,提升集成电路核心竞争力大力发展LED照全国最大的LED明设备及光电芯片生产企智能设备业,有望冲击全球龙头化合物半导体芯片制造光通讯政策支持三安光电股份有限公司核心产业全资子公司厦门三安被认定为高新技术企业,所缴纳企业所得税率由25%调整为15%国开行以最优惠利率提供200亿元融资总量支持公司发展2011-2013年安溪市政府通过“科技三项”给予三安光电共计7.23亿元的补贴图表455:公司所获得的政策支持及投资来源:中泰证券研究所根据公告整理n行业前景明朗,公司未来成长动能充沛。从行业层面看,2017年LED芯片需求旺盛,行业接近满产仍供不应求。另外,全球LED渗透率还在不断提升,下游产能需求向龙头企业转移态势还在加剧,而且在技术进步带动下,成本下降趋势还会持续,强者恒强的格局将会越演越烈,三安光电龙头地位会进一步巩固。n就公司微观主体而言,一方面公司可见光得到迅速发展,LED芯片产能不断扩大,LED若出现排版错位,可加微信535600147,获取PDF版本 车灯业务进一步推进,miniLED及microLED新型显示技术打开长期成长空间;另一方面公司大力推进化合物半导体业务的布局,砷化镓PA和氮化镓电力电子集成芯片进入国内外客户验证,进一步推进光通讯和滤波器业务布局。公司不断提升自身技术,优化产品结构,巩固自有知识产权保护,完善配套,严格管理,使得公司盈利迅速提升。n持续加码化合物半导体,III-V族龙头正式起航。继此前与华芯投资(集成电路产业大基金托管人)签署战略协议开展不超过25亿美元的合作,拟合资设立III—V族化合物集成电路发展专项基金,公司去年12月再度公告加码,拟投资总额333亿元在福建泉州成立项目公司,全部项目五年内实现投产,七年内全部项目实现达产,经营期限不少于25年。n我们预计化合物半导体将是国家集成电路产业基金二期落地后重点发展方向,三安光电作为LED芯片国际龙头,依托LED外延、芯片工艺在III-V族化合物半导体布局深厚,成立时间、规模及品质均为国内领先。333亿元议案再度加码彰显公司决心,未来将立足于Ⅲ-Ⅴ族化合物半导体材料的研发与应用,以砷化镓、氮化镓、碳化硅、磷化铟等半导体新材料所涉及到的核心主业做大做强!(三)景嘉微:国产图形显控龙头,新品放量在即n景嘉微2006年成立以来专注于高可靠军用电子产品的研发、生产和销售,主要产品为图形显控、小型专用化雷达领域的核心模块及系统级产品。图表456:景嘉微成立以来发展历程来源:招股说明书,中泰证券研究所n公司近年营业收入持续稳定增长。2011-2017年公司营业收入及净利润稳步提升,其中2017年公司实现营收3.06亿元,归母净利润1.19亿元,同比分别增长10.2%、12.9%。1Q18公司营业收入6133万元,同比增长24.2%,归母净利润1406万元,同比增7.7%。2017年公司图形显控领域产品销售继续增长,增速达9.3%。图表457:公司近年营收及净利润情况(百万元)图表458:公司营收结构(百万元)若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本350300250200150100500营业收入净利润营收增速净利润增速201120122013201420152016201730%25%20%15%10%5%0%350300250200150100500图形显控领域产品小型专用化雷达领域产品其他2011201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n图形显控业务是公司目前绝对核心业务。2015-17年图形显控领域产品的销售收入占主营业务收入的比例分别为85.3%、75.0%和74.4%,占比很高。利润率方面,近年图形显控产品换代毛利率有所波动,维持在78%附近,2017年毛利率为78.8%;小型专用化雷达产品占比与毛利率逐年提升,未来有望成为新的盈利支撑。图表459:公司利润率高盈利能力强图表460:整体产品毛利率维持高位若出现排版错位,可加微信535600147,获取PDF版本100%90%80%70%60%50%40%30%20%10%0%毛利率净利率87.5%85.1%84.9%82.4%74.7%78.1%78.8%49.1%44.7%39.3%40.1%37.1%37.9%38.8%2011201220132014201520162017100%80%60%40%20%0%图形显控领域产品小型专用化雷达领域产品其他主营业务2011201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n公司资质齐全,已打入军工集团供应商体系。公司产品绝大部分为定制化军用电子核心模块,客户主要是国有军工集团下属单位,包括中航工业集团、中国电子科技集团以及中船重工集团等,客户集中度高。中航工业集团是我国负责军用飞机研发、生产的军工集团,公司紧跟中航工业集团,等于牢牢占据军机航空显控市场。2016及2017年中航工业占公司销售额均超过87%。图表461:2016年公司前五大客户情况图表462:2017年公司前五大客户情况若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本客户1客户2客户3客户4客户5第一名第二名第三名第四名第五名其它2%2%6%1%89%1.6%2.3%若出现排版错位,可加微信535600147,获取PDF版本2.16.%8%4.0%87.7%来源:公司公告,中泰证券研究所来源:公司年报,中泰证券研究所n携手核工业背景厂商KALRAY共同推进可编程通用芯片发展。长沙景嘉微电子股份有限公司的全资子公司长沙景美集成电路设计有限公司与KALRAYS.A.签署协议。景美与KALRAY公司将进行深度业务和技术合作,共同推进可编程通用计算芯片的发展。nKALRAY拥有领先的多核处理器技术。公司新一代芯片产品Bostan,内核处理器的数量达到了288个,它集成了16个计算集群,2MB的共享内存,每秒可处理数据量为80GB,拥有16个系统核。Bostan由于采用了片上网络NoC的通信方式,结合高速以太网接口(接口标准8GbE~10GbE),具有低延迟性的特点。图表463:KALRAYBostanMPPA处理器来源:KALRAY,中泰证券研究所nGPU核心技术在手,切入民用市场空间广阔。景嘉微成立之际把握住我国军用飞机图形显控系统由使用DSP与FPGA图形加速器向使用GPU图形处理器升级这一历史机遇,组织研发团队投入军用飞机图形显控领域的研究。n公司自主研制的基于VxWorks操作系统dATIMobilityRadeon系列图形处理芯片M9、M72、M96驱动以及基于OpenGL在VxWorks嵌入式系统实现的三维数字地图填补了国内空白,处于国内领先地位。同时对于驱动的研发经验使公司对图形处理芯片在嵌入式操作系统中的应用需求有了深入的认识。若出现排版错位,可加微信535600147,获取PDF版本 项目公司优势图表464:公司技术优势若出现排版错位,可加微信535600147,获取PDF版本驱动程序1、公司驱动程序在安全性和保障性方面具备独特优势,无后门威胁;2、针对国内客户具体需求对驱动程序做了大量优化,加强对中文字库支持;3、实时跟踪驱动程序的市场需求和技术发展动态,及时更新换代若出现排版错位,可加微信535600147,获取PDF版本自主研发出20多个图形与视频相关的IP,可分别实现双三次立方的图像缩放算法、图像的抖动算法、图像的增强算与法等不同功能。基于上述IP实现产品的模块化开发,极大地方便了产品的升级、替换及维护。同时,公司通过使用成熟的IP,提高了产品的集成度,有助于产品降低功耗、减小体积、节约成本。FPGA芯片加固技术运行稳定、可靠性高,适应各种严苛的环境条件,获得了客户的一致肯定来源:公司官网,中泰证券研究所n公司作为国内GPU稀缺性龙头厂商,掌握核心技术,具有底层程序开发能力,产品研发目标清晰,有望迎上GPU应用浪潮,切入民用领域,享受广阔市场空间。(四)圣邦股份:国内模拟龙头,期待持续稳定成长n圣邦股份于2007年成立,2017年6月上市。公司专注于高性能、高品质模拟集成电路芯片设计及销售,目前拥有16大类1000余款产品,涵盖信号链和电源管理两大领域,包括运算放大器、比较器、音/视频放大器、模拟开关、电平转换及接口电路、小逻辑芯片、AFE、LDO、DC/DC转换器、OVP、负载开关、LED驱动器、CPU电源监控电路、马达驱动、MOSFET驱动及电池管理芯片等。图表465:圣邦股份产品体系若出现排版错位,可加微信535600147,获取PDF版本 来源:圣邦股份官网,中泰证券研究所n公司收入、业绩稳健增长。受益于业务拓展、销量增长、产品结构改善,公司收入、归母净利润加速增长。公司2017年营业收入5.32亿元,同比增长17.60%,归母净利润9387万元,同比增长16.33%;2018年第一季度,公司营业收入1.33亿元,同比增长32.91%,归母净利润1749.47万元,同比增长21.67%。图表466:圣邦股份营收情况(亿元)图表467:圣邦股份利润情况(万元)若出现排版错位,可加微信535600147,获取PDF版本6.005.004.003.002.001.000.00营业收入(亿元)YoY(%)2012201420162018Q135%30%25%20%15%10%5%0%100009000800070006000500040003000200010000归母净利润(万元)YoY(%)2012201420162018Q130%25%20%15%10%5%0%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n电源管理、信号链产品毛利率均现增长势头。公司毛利率维持高位,稳中有升,2018年第一季度净利润率下滑主要是由于摊销股份支付费用446.52万元。分产品来看,电源管理产品毛利率达36.32%,相较2016若出现排版错位,可加微信535600147,获取PDF版本 年提升近4个百分点;信号链产品毛利率始终维持高位,较2016年提升3个百分点至54.18%。图表468:圣邦股份利润率图表469:圣邦股份各产品毛利率若出现排版错位,可加微信535600147,获取PDF版本50.00%45.00%40.00%35.00%30.00%25.00%20.00%15.00%10.00%5.00%0.00%毛利率(%)归母净利率(%)60.00%50.00%40.00%30.00%20.00%10.00%0.00%电源管理产品信号链产品201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n高效低功耗技术领先,不断充实完善电源管理品类。公司2017年,推出了多款电源管理芯片新产品,涵盖LED驱动电路、LDO、DC/DC转换器、CPU电源监控电路、锂电池充电及保护管理芯片、OVP、马达驱动芯片以及负载开关等多个领域。公司在在高效低功耗电源管理芯片技术上深耕多年,在工艺、封装尺寸等方面有深厚的技术积累,看好公司未来在低功耗、大功率、大电流、高压等不同方向上的持续拓展。图表470:圣邦股份电源管理产品收入情况(亿元)图表471:圣邦股份信号链产品收入情况(亿元)来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n持续拓展高性能运放、ADC、DAC市场。公司2017年推出了高性能运算放大器、HIFI音频放大器、模拟开关及接口电路等多款信号链产品;2018年又将陆续推出ADCDAC新品,以低速应用为主,下游市场主要为工业控制、医疗等领域。n模拟芯片龙头,成长空间巨大。WSTS数据显示,2018年全球模拟芯片市场规模预计为574亿美元,其中,中国市场占全球份额约为60%左右,而我国模拟芯片产量仅占全球10%左右,国产替代空间巨大。圣邦股份作为A股稀缺模拟芯片优质标的,在技术积累、制造工艺、封装工若出现排版错位,可加微信535600147,获取PDF版本 艺上稳步缩小与国外龙头的差距,部分产品已达到世界领先水平,打破了国外垄断。从收入上看,公司市场份额仍不足国内市场1%,成长空间巨大。(五)中芯国际:大陆先进工艺代工龙头,研发进展突破超预期n中芯国际是国内代工厂的龙头,大陆半导体代工领域追赶的头号种子选手,向全球客户提供0.35微米到28纳米晶圆代工与技术服务,包括逻辑芯片,混合信号/射频收发芯片,耐高压芯片,系统芯片,闪存芯片,EEPROM芯片,图像传感器芯片及LCoS微型显示器芯片,电源管理,微型机电系统等。今年以来公司整体运营情况优于预期,产能利用率持续改善,14nm研发进展超预期。图表472:2017年各晶圆代工厂排名(百万美元)排名企业2017营收2017年市占率国家/地区1台积电3280457.80%中国台湾2格罗方德54079.40%美国3联电48988.50%中国台湾4三星43987.70%韩国5中芯国际30995.40%中国大陆6高塔半导体13882.40%以色列7力晶10351.80%中国台湾8世界先进8171.40%中国台湾9华虹半导体8071.40%中国大陆10东部高科6761.20%韩国来源:中泰证券研究所n2018年Q1公司实现营收8.3亿美元,同比增长4.8%,环比增长5.6%;若剔除技术授权收入,销售收入为7.87亿美元,环比下滑8.1%,主要由于Q1产品结构有所变化,且淡季晶圆付运量减少所致。n2017年中芯国际,毛利220.18亿美元,同比下滑0.29%,环比增长48.27%;毛利率为26.49%,同比下滑1.35pct,环比增长7.63pct,不含授权收入毛利率为15.6%,下滑主要由于产品结构改变导致ASP下滑;归母净利润2938万美元,同比增长26.49%,环比增长3.53%。图表473:中芯国际营收趋势(百万美元)图表474:中芯国际净利润趋势(百万美元)若出现排版错位,可加微信535600147,获取PDF版本 来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n成熟制程做奠基石,打造差异化应用平台!中芯国际虽然在14/16nm及以下工艺距离台积电差距遥远,但40nm以上的成熟制程差距并不大,以指纹识别为例,行业龙头FPC是中芯国际代工,8寸的手机电源管理芯片的是高通和海思等行业领先客户。利用成熟制程的优势打造差异化平台成为公司强有力的盈利策略,去年公司引进CIS和NORFlash等fillerproduct并实现营收的大幅增长,同时专注于电源管理、SLCNAND、汽车电子和物联网等应用平台的差异化实现。市场规摸(亿美元)增长率7008.0%6007.0%6.0%5005.0%4004.0%3003.0%2002.0%1001.0%00.0%20162017201820192020图表475:各晶圆代工厂竞争策略来源:Gartner,中泰证券研究所整理n从制程占比上来看,18Q1公司28nm产品占比有所下滑,主要是公司对HKC相关技术工艺做了重大改进,目前调整期,我们预计使用新技术的HKC+将于2018年底量产。目前公司成熟制程业务占比常年保持在50%以上,是公司业务营收的主力军。公司的成熟制程包含90nm,0.13/0.11微米、0.15/0.18微米、0.25微米、0.35微米。图表476:中芯国际制程分布图表477:中芯国际各制程收入(百万美元)若出现排版错位,可加微信535600147,获取PDF版本 来源:SMIC,中泰证券研究所来源:SMIC,中泰证券研究所nNORFlash、CIS等产品填充产能顺利!产能利用率持续改善!我们认为以兆易NORFlash、豪威CIS产品为代表的产品爬坡持续改善公司产能情况,产能利用率增长至88.35%,相较上季度增加2.5pct。同时由于深圳8寸厂扩充产能,2018Q1产能相较上季度深圳8寸厂增加5千片/月,至447,750片/月。图表478:中芯国际单季度付运晶圆及产能利用率图表479:中芯国际产能分布来源:SMIC,中泰证券研究所来源:SMIC,中泰证券研究所n国家战略带来强有力政策扶持,公司作为集成电路制造龙头企业持续受益!半导体制造技术壁垒和资金壁垒都高,凭借自身的发展,实现弯道超车难度很高,而且芯片代工是国内半导体最薄弱环节,,代工成为国家扶持的重点方向是必然趋势。半导体制造方面的目标是2020年14/16nm制造工艺实现规模量产,我们认为大概率依靠中芯国际为载体实现。截止2017年11月,大基金承诺投资在芯片制造业的资金为65%、设计业17%、封测业10%、装备材料业8%。在先进制程方面,中国国家集成电路产业基金和上海集成电路产业基金入股中芯南方,为公司攻克14nm制程提供了102.4亿美元资金支持。国家战略带来对于半导体制造产业的支持力度巨大,公司作为半导体制造业最为核心的公司有望乘风突破!(六)华虹半导体:最直接受益8寸高景气,运营超预期若出现排版错位,可加微信535600147,获取PDF版本 n华虹半导体受益8寸高景气,营收贴指引上限、毛利率超预期!公司单季度营业收入2.10亿美元,同比增长14.65%,环比下滑3.15%主要受季节性因素以及两间工厂年度维护的影响;0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%19971998199920002001图表480:华虹季度营收(百万美元)图表481:华虹季度净利润(百万美元)来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n毛利率超Q4指引2.1个百分点达到32.1%,同比增长2.36pct,环比下滑1.63pct,环比略有下滑主要由于折旧成本增加,同比增长主要受益于晶圆销量、ASP、产能利用率增长;归母净利润为4010万美元,同比增长17.7%,环比下滑3.38%。n我们一直强调全球8寸产能受设备停产及短期晶圆短缺影响,代工厂议价能力提升!从报表来看,涨价持续传导,公司晶圆ASP突破450美元。本季度晶圆付运量为454000片,同比增长5.1%,环比减少9.4%,产能利用率增至97.3%,同比增长1.1pct,环比增长0.5pct;公司晶圆ASP突破450美元,同比增长9.46%。0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%19971998199920002001图表482:华虹单季度付运晶圆及产能利用率图表483:华虹ASP来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n公司eNVM、分立器件产品收入维持同比增长。公司本季度eNVM业务收入8371.6万美元,占比39.7%,同比增长20.92%,环比略有下滑,主要由于智能卡芯片需求减少,但部分被MCU产品需求增加抵消。独若出现排版错位,可加微信535600147,获取PDF版本 立非易失性存储器收入538.5万美元,占比2.6%,同比增长18.43%;分立器件收入6619.9万美元,占比31.5%,同比增长36.94%;另外,模拟与电源管理、逻辑与射频、以及其他业务分别占收入比重为16.9%、9.2%、0.1%。0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%19971998199920002001图表484:华虹各平台收入占比图表485:华虹各平台收入同比增速来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所n第四次硅含量提升周期核心应用——工控&汽车驱动,相关应用同比大幅增长!公司收入下游应用市场分布情况来看,工业及汽车收入3670.9万美元,占比17.5%,同比大涨59%,环比增长31%,受益于MCU及IGBT产品需求增加;电子消费品收入1.43亿美元,占比67.9%,同比增长10.9%,环比下滑5.7%,主要由于消费级MCU和模拟产品需求减少所致;本季度通讯及计算机产品分别占比10%及4.6%。图表486:华虹下游市场分布情况来源:公司财报,中泰证券研究所整理n公司的成长源于下游应用市场的快速增长。智能IC卡方面,下游主要应用是银行卡、身份证和SIM卡,其中2017年银行卡市场占到30%,2018年将抢占NXP和英飞凌的市场份额,有望增长至60%,身份证和SIM卡也有着庞大的市场规模,未来有望进行市场份额的提升。MCU若出现排版错位,可加微信535600147,获取PDF版本 方面,下游应用市场主要是华为、oppo、vivo等客户的触摸技术,智能电表、智能家庭、甚至遥控器的需求也使得MCU具有提升的动能。此外,功率半导体占据了公司27.6%的营收比例,这主要得益于下游应用需求的持续高涨,其中超级结在60-600V汽车充电桩上的应用,IGBT在开关电源、火车、风能、新能源汽车、绿能电网等方面的应用使公司在功率半导体的上升势能突出。电源IC市场方面,市场规模一直在稳定攀升,公司技术涵盖1微米到0.13微米,电压范围可覆盖1.8V到700V,产品广泛应用于智能电表、LED驱动电源、PCPMU、快速充电等各个方面。0.25um+0.18/0.15um0.13/0.11nm90nm65nm40/45nm28nm100%50%0%2007200820092010201120122013营业收入增长率25000140%128%20,879120%2000015,221100%1500080%60%100006,1575,5376,67737%40%500021%20%0%0-10%-20%19971998199920002001图表487:智能卡发展历程图表488:IGBT&loT解决方案来源:公司财报,中泰证券研究所来源:公司财报,中泰证券研究所(七)扬杰科技:功率半导体十年一剑,内生外延双驱动n扬杰科技成立于2006年,其业务继承于扬杰投资,主要业务是经营如功率二极管、整流桥等电子元器件的研发、制造和销售。经过十多年的发展,扬杰科技发展成为及晶圆、芯片设计封装、营销一体的IDM功率半导体公司,目前拥有3寸、4寸、5寸、6寸晶圆厂,正在规划建设8寸晶圆厂。2016年,扬杰科技在中国功率半导体企业中排名第二,仅次于老牌企业华微电子。图表489:2016中国半导体功率器件十强企业来源:中国半导体行业协会,中泰证券研究所n十年稳扎稳打、业绩持续稳定增长。2017年公司营收为14.7亿元,十若出现排版错位,可加微信535600147,获取PDF版本 年实现十倍增长,同比增长23%;归母净利润为2.67亿元,同比增长32%。2018Q1公司营收为3.96亿元,同比增长31%,实现较高速增长,归母净利润为6400万,同比增长16.7%。图表490:扬杰科技的营收及净利润(亿元)图表491:扬杰科技毛利率与净利率(%)若出现排版错位,可加微信535600147,获取PDF版本营业总收入归母净利润同比(%)同比(%)1614121086420250%200%150%100%50%0%40%35%30%25%20%15%10%5%0%销售毛利率(%)销售净利率(%)若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n研发投入不断增加,加固护城河。2017年公司加大的研发投入,研发费用达到7200万元,同比增长44%。一方面公司紧跟市场需求,持续对原有产品进行改进,如成功研制放电管芯片及高压模块雪崩圆形芯片,进一步改善提升TVS产品性能,提升了公司在下游的竞争力。另一方面,公司组建MOSFET、FRED、IGBT与第三代宽禁带半导体的研发,拓展高端市场,努力实现国产化替代。图表492:公司的研发投入保持高速增长(万元)研发费用同比增长若出现排版错位,可加微信535600147,获取PDF版本80007000600050004000300020001000020092010201120122013201420152016201790%80%70%60%50%40%30%20%10%0%若出现排版错位,可加微信535600147,获取PDF版本35%35%36%35%31%33%32%25%26%21%19%16%17%17%17%18%17%13%14%7%来源:wind,中泰证券研究所n下游业务覆盖面广。在智能电表贴片式整流桥,国内市场份额为25%占比第一。未来随着下游国内家电大厂对国产器件的准入,扬杰科技有望进入白电黑电等家电变频领域,预计在黑电领域能实现突破性的增长。图表493:扬杰科技下游覆盖面广图表494:2016年扬杰科技下游业务板块构成若出现排版错位,可加微信535600147,获取PDF版本 来源:中泰证券研究所来源:公司年报,中泰证券研究所n外延切入6/8寸MOSEFT,实现产品结构升级。2018年一季度,根据公告公司控股了原东光微电子宜兴6寸线,是继公司2015年自建的第二条6寸线,使得公司的更好地切入MOSFET和IGBT产品领域。图表495:扬杰科技产品生产量(千只)图表496:扬杰科技产线布局情况半导体器件分立器件芯片14000000120000001000000080000006000000400000020000000201220132014201520162017来源:wind,中泰证券研究所来源:公司官网,中泰证券研究所(八)士兰微:A股IDM龙头,重点关注8寸爬坡n公司成立于1997年,主营业务包括集成电路、半导体分立器件、发光二极管(LED)三大类产品,经过20余年深耕半导体行业,公司已经从一家纯芯片设计公司发展成为目前国内为数不多的IDM公司,陆续承担了国家科技重大专项“01专项”和“02专项”多个科研专题课题,同时也是国家“910”工程的重要承担者。n作为国内半导体IDM商业模式稀缺标的,在国家对半导体产业大力扶持的战略背景下,公司将成为国内半导体黄金十年的显著受益者,在行业高景气的推动下实现持续快速增长。同时,公司在产品布局上积极备战物联网,迎接硬件领域下一波大机会的到来。图表497:士兰微产品体系若出现排版错位,可加微信535600147,获取PDF版本 类别产品线主要产品若出现排版错位,可加微信535600147,获取PDF版本电源及功率驱动AC-DC转换电路DC-DC转换电路LED驱动电路智能功率模块若出现排版错位,可加微信535600147,获取PDF版本混合信号及射频MEMS传感器遥控车传感器CD光盘伺服电路及系统应用方案数字音频解码电路及系统应用方案若出现排版错位,可加微信535600147,获取PDF版本集成电路数字音视频无线互联多媒体主控电路及系统应用方案智能家居主控电路及应用方案智能感应产品与方案若出现排版错位,可加微信535600147,获取PDF版本以8bitCPU、32bitCPU为核心,集成电源管理模块、RF基带、高速ADC采样等各类特殊控制为接口单元工业自动化用SoC电机控制微控制器低功耗蓝牙SoC产品MCU若出现排版错位,可加微信535600147,获取PDF版本分立器件功率器件、模块高压MOSFET产品、IGBTLED蓝光芯片、绿光芯片、白光芯片LAMP直插型、SMD贴片型LEDPIMFRD若出现排版错位,可加微信535600147,获取PDF版本来源:士兰微官网、中泰证券研究所n公司收入持续提升。受益于集成电路、分立器件下游市场的突破以及LED毛利率回升,公司2017年营业收入27.42亿元,同比增长15.44%,归母净利润1.69亿元,同比增长76.75%;2018年第一季度,受消费级景气度下滑以及成本提升影响,公司营业收入6.51亿元,同比增长10.48%,归母净利润3113万元,同比下滑5.10%。图表498:士兰微营收情况(万元)图表499:士兰微利润情况(万元)若出现排版错位,可加微信535600147,获取PDF版本300000250000200000150000100000500000营收(万元)YoY(%)70%60%50%40%30%20%10%0%-10%-20%300002500020000150001000050000归母净利润YoY(%)600%500%400%300%200%100%0%-100%-200%若出现排版错位,可加微信535600147,获取PDF版本若出现排版错位,可加微信535600147,获取PDF版本 来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n三大业务全线增长。分产品来看,公司三大产品收入已连续多年保持增长,2017年增速均维持在10%以上,分立器件业务2017年收入,11.47亿元,同比增长16.79%,收入占比42%;集成电路业务收入10.58亿元,同比增长14.03%,收入占比39%;LED业务2017年迎来高速增长,收入5.05亿元,同比增长19.91%,收入占比略升至19%。图表500:士兰微营收结构图表501:士兰微产品结构(内圈2016vs外圈2017)若出现排版错位,可加微信535600147,获取PDF版本300000250000200000150000100000500000分立器件集成电路发光二极管2008200920102011201220132014201520162017分立器件集成电路发光二极管18%42%40%39%19%42%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n分立器件、集成电路维持高毛利率,LED毛利率大幅回升。近年来,公司毛利率较为平稳,整体上在25%左右波动,主要受LED业务毛利率大幅波动影响,18Q1综合毛利率略微下滑0.48pct至26.22%,主要由成本增长所致,主要。分产品来看,集成电路毛利率达28.20,分立器件毛利率达27.82%,始终维持较高毛利率;LED业务随着新建产能投产,规模优势下,毛利率大幅提升13.25pct至19.52%。图表502:士兰微利润率图表503:士兰微各产品毛利率若出现排版错位,可加微信535600147,获取PDF版本40.00%35.00%30.00%25.00%20.00%15.00%10.00%5.00%0.00%毛利率(%)净利率(%)60.00%50.00%40.00%30.00%20.00%10.00%0.00%分立器件集成电路发光二极管2008200920102011201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n公司集成电路业务产品组合完善,下游应用广泛,主要产品包括LED驱动电路、IPM智能功率模块、MCU、数字音视频电路、MEMS若出现排版错位,可加微信535600147,获取PDF版本 传感器,各大产品均维持了较快增长。若出现排版错位,可加微信535600147,获取PDF版本图表504:士兰微集成电路业务收入(万元)集成电路YoY120000100000800006000040000200000200820092010201120122013201420152016201740.00%30.00%20.00%10.00%0.00%-10.00%-20.00%-30.00%若出现排版错位,可加微信535600147,获取PDF版本来源:Wind、中泰证券研究所n公司内生外延各项规划顺利推进,持续打造研发制造一体化IDM龙头。2017年年报显示,士兰微将加快杭州士兰集昕8寸线产品技术平台的导入,积极拓展产能,积极推进厦门士兰集科12寸特色工艺半导体芯片制造生产线项目和厦门士兰明镓化合物半导体芯片制造生产线项目建设:n8寸线:17年3月末,产出第一片合格芯片;6月末,投入量产;12月末,实现月产15000片;18年一季度产能达2万片/月,考虑到投片到封测的滞后性,预计二季度起可实现2万片/月的产出;年底产能目标为4万片/月,未来每年实现2万片/月的产能提升。n12寸线:士兰集科(公司持有15%股权)将于厦门建设两条12寸90-65nm特色工艺芯片生产线,预计年底动工,目标3年内实现产出。n化合物半导体:士兰明镓将于厦门建设一条4/6寸兼容先进化合物半导体器件生产线,预期将为公司高端LED以及硅基氮化镓器件布局打下坚实基础。(九)富满电子:深耕模电芯片近20年,半年报高增长n富满电子深耕模拟电路芯片近20年。富满电子主要经营高性能模拟及数模混合集成电路的设计研发、封装、测试和销售,主要产品包括电源管理、LED控制及驱动芯片、MOSFET和各类ASIC等芯片,产品广泛应用于个人、家庭、汽车等终端电子产品中。公司成立于2001年,于2017年7月上市,公司深耕模拟电路近20年。在芯片设计的基础上,富满电子2011年通过投建封装测试厂向产业链的下游延伸。图表505:富满电子在集成电路产业价值链中所处位置若出现排版错位,可加微信535600147,获取PDF版本 来源:招股说明书,中泰证券研究所n2018Q1业绩稳步增长,净利润增长亮眼。2018Q1公司营收1.03亿元,同比增长22.2%,环比下降26。3%。归母净利润为1821万元,同比增长118.7%,环比下降24.2%。同比增长的原因主要是,受益MOSFET行业高景气以及LED大客户顺利切入,同时公司的多条产品线市占率显著提升,LED驱动芯片市场份额不断扩大,电源管理芯片,中低压MOSFET市占率稳步提升,公司业绩持续增长。图表506:富满电子营收及增速(万元)图表507:富满电子归母净利润及增速(万元)营业总收入同比(%)归母净利润同比(%)若出现排版错位,可加微信535600147,获取PDF版本160001400012000100008000600040002000060%50%40%30%20%10%0%300025002000150010005000140%120%100%80%60%40%20%0%若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n公司毛利率、净利率持续提升,盈利能力增强。2018Q1公司毛利率为36.4%,同比增长8.4个百分点,环比增长6.4个百分点。净利率为17.4%,同比增长6.7个百分点,环比增长0.4个百分点。公司毛利率、净利率持续提升,盈利能力不断增强。若出现排版错位,可加微信535600147,获取PDF版本 图表508:富满电子毛利率及净利率情况图表509:富满电子分产品毛利率情况若出现排版错位,可加微信535600147,获取PDF版本40.0%销售毛利率(%)销售净利率(%)36.4%LED控制驱动芯片电源管理芯片MOSFET其它若出现排版错位,可加微信535600147,获取PDF版本35.0%29.7%31.0%26.6%30.0%28.0%27.7%28.2%50%若出现排版错位,可加微信535600147,获取PDF版本30.0%25.0%20.0%15.0%10.0%5.0%0.0%7.4%25.9%14.7%10.7%11.5%9.7%17.0%17.4%12.5%12.0%45%40%35%30%25%20%15%10%5%0%20132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n研发+整合封测环节,有望提升公司盈利能力。公司积极研发,在持续提升LED、电源管理芯片,中低压MOSFET市场份额的同时,不断开拓新的产品线,布局快速充电,无线充电等领域,未来有望成为公司增长的新动力。此外公司还向下整合产业链,整合封测环节,有效大幅降低封装成本提升盈利能力。2017年公司募投1.2亿美元用于LED及电源管理芯片项目,预计2020年投产新增芯片产能1亿颗/月。图表510:富满电子的研发费用持续保持高速增长(万元)研发费用同比(%)若出现排版错位,可加微信535600147,获取PDF版本400035003000250020001500100050002013201420152016201735%30%25%20%15%10%5%0%若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所n对比国内LED驱动芯片龙头晶丰明源,富满电子的优势在于产品结构丰富、设计+封测的产业链优势。富满在芯片设计基础上投建了自己的封装测试生产线,而晶丰采用的是Fabless经营模式,生产环节外包给通富微电、长电科技等封装厂生产。因此相比于晶丰的轻资产、灵活性高,富满由于拥有封装测试生产线,产业链厂,毛利率更高。2017年富满毛利率为36.4%,净利率为13.3%;晶丰毛利率为22.5%,净利率为10.9%。富满盈利能力更强。图表511:富满电子与晶丰明源对比若出现排版错位,可加微信535600147,获取PDF版本 富满电子晶丰明源产品结构电源管理芯片、LED驱动芯片、MOSFETLED驱动芯片产业定位设计+封测设计LED种类显示(主)+照明(辅)照明LED市占率6.0%28.8%毛利率36.4%22.5%利润率13.3%10.9%研发占比8.5%7.5%存货周转率2.318.61销售模式经销+代理+直销经销+直销客户艾森达、鑫飞宏、华冠潮、润丰诚阳光照明、得邦照明、木林森、佛山照明、怡海能达、亚讯代工华润上华、中航微电华润上华、中芯国际、上海先进封测自给自足通富微电、长电科技来源:中泰证券研究所(十)北方华创:国产设备平台型企业,产业化持续推进!n目前公司在电子工艺装备方面形成半导体装备、真空装备和新能源锂电装备三大业务线,半导体设备业务包括刻蚀、薄膜沉积、清洗设备,累计承担02科技重大专项设备类项目(除光刻机外)数量占比过半。公司产品在多晶硅刻蚀、PVD、单片退火、立体氧化炉及清洗设备以及达到28nm制程要求,17年累计流片量大幅提升!且以上部分细分领域14nm目前已经开始验证。图表512:北方华创多数设备已经达到28nm制程来源:公司报告,中泰证券研究所n公司18Q1财报靓丽,Q2指引超预期。公司一季报显示18Q1单季度实现营收5.42亿元,同比增长30.85%,实现归母净利润1536万元,同比增长857.58%。我们认为尽管超高增速主要由于去年一季度基数较小,若出现排版错位,可加微信535600147,获取PDF版本 但从营收增长角度我们仍然可以看到公司良好成长性。图表513:北方华创营收分类占比(亿元)图表514:公司4大板块的主要销售产品主营业务主要产品若出现排版错位,可加微信535600147,获取PDF版本1.00,5%0.25,1%2.01,9%7.63,34%11.34,51%半导体设备电子元件真空设备锂电设备半导体设备真空设备Etch、PVD、LPCVD、APCVD、PECVD、氧化炉、扩散炉、清洗机、热处理装备、液晶面板制造装备、气体质量流量控制器等系列化半导体关键制造装备及核心零部件真空热处理设备、气氛保护热处理设备、连续式热处理设备和晶体生长设备若出现排版错位,可加微信535600147,获取PDF版本其他业务搅拌机系列、涂布机系列、分切机系列、若出现排版错位,可加微信535600147,获取PDF版本锂电设备电子元器件辊压机系列等高精密电阻器、电容器、石英晶体器件和模块电源若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n同时公司给出2018年1-6月经营指引,展望乐观超预期。根据公告,预计2018年1-6月归母净利润同比增长100%-150%,对应1.06-1.32亿元,即Q2实现归母净利润9064万元-1.17亿元,较17Q2同比增长71.7%-121%,继续维持跨越式增长!图表515:北方华创季度营收情况(百万)图表516:北方华创季度归母净利润情况(百万)来源:wind,中泰证券研究所来源:wind,中泰证券研究所n公司利润率显著改善。18Q1公司毛利率/净利率分别为41.9%/3.4%,其中毛利率环比提升10.3pct、同比提升8.6pct,净利率亦较去年同期提升1.8pct。我们认为利润率改善主要来自订单及生产规模的提升。图表517:北方华创利润率情况若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所(十一)至纯科技:国内高纯工艺系统设备龙头n至纯科技为高纯工艺设备系统的提供者。至纯科技业务为电子、生物医药等行业的先进制造企业提供配套的高纯工艺系统与高纯工艺设备,主要以控制生产工艺中的不纯物为核心,涉及的行业主要包括泛半导体产业(集成电路、MEMS、面板显示、光伏、LED)、光纤、生物制药和食品饮料等行业。图表518:至纯科技的主要产品产品设备应用图片从气源到使用点,再到尾气处理的每高纯特气输一个环节,实现安全稳定、无污染、送系统满足工艺制程要求的供应若出现排版错位,可加微信535600147,获取PDF版本系统集成方案高纯气化设备湿法工艺机台高纯化学品输送系统特气供应设备全自动集中高纯供液柜槽式湿法设备将存放于槽车的原液,经过槽车填充柜输送到储存罐。再由供液设备将高纯化学品输送到日用罐。工艺介质回从气到使用点,再到尾气(液)处理收及处理系的每一个环节,在满足工艺同时深度统关注减排和环保的问题。存放腐蚀性,毒性,可燃性,自然性气体。配置减压装置,自动切换,自动吹扫等自动安全装置。化学品集中供液设备,腐蚀性,毒性,可燃性等危险液态化学品的放置与管理设备。槽式设备工艺也需要依据工艺需求来选择合适的清洗设备;并且兼顾降低晶圆清洗成本和兼顾环境保护;若出现排版错位,可加微信535600147,获取PDF版本 用于去胶及去胶后清洗、炉管及长膜单片式湿法前清洗、氧化层/氮化硅蚀刻、铜/钛设备金属蚀刻等晶圆清洗。来源:公司官网,中泰证券研究所整理n公司营收增长加快,净利润稳步增长。2017年,至纯科技营收3.69亿元,同比增长40%,归母净利润为4899万元,同比增长9%。营收增长最主要的原因是受半导体业务的增加引起的。2017年半导体营收2.1亿元,占总营收的57%,同比增长60%。图表519:至纯科技营收与净利润情况(亿元)图表520:至纯科技2017年营收分布若出现排版错位,可加微信535600147,获取PDF版本4.003.503.002.502.001.501.000.500.00营业收入(亿元)归母净利润(亿元)营收同比净利润同比20112012201320142015201620171.61.41.21.00.80.60.40.20.0-0.2-0.4-0.6光伏医药LED半导体其他若出现排版错位,可加微信535600147,获取PDF版本12%16%5%10%57%来源:wind,中泰证券研究所来源:wind,中泰证券研究所n业务转移半导体,毛利率有所上升。2017年,公司毛利率的39.02%,较去年增加1.29个百分点。其中半导体业务毛利率为39.93%,较去年同期增加3.86个百分点。除了半导体业务的毛利率增长之外,其余业务的毛利率均有所下降:医药业务毛利率为35.71%,较去年减少3.10个百分点,光伏业务毛利率为33.64%,较去年减少3.73个百分点,LED业务毛利率为41.38%,较去年减少1.39个百分点。图表521:至纯科技毛利率及净利率图表522:至纯科技分产品毛利率情况若出现排版错位,可加微信535600147,获取PDF版本45%40%35%30%25%20%15%10%5%0%毛利率(%)净利润(%)2013201420152016201750%45%40%35%30%25%20%15%10%5%0%光伏医药LED半导体其他20132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n公司换挡半导体,增长实现新旧动能转换。公司早期,在2011-2012年,应收占比最大的是光伏业务,但随着下游光伏行业遭遇产能过剩,光伏业务开始缩小。2013-2015年,医药领域的营收开始成为公司的增长点,若出现排版错位,可加微信535600147,获取PDF版本 占比均在40%以上。到了2016年,公司业务开始转移到半导体。2016年半导体营收为1.31亿元,同比增长554%,成功的实现新旧动能转换。若出现排版错位,可加微信535600147,获取PDF版本图表523:公司分业务营收情况(亿元)光伏医药LED半导体其他增长换挡到半导体若出现排版错位,可加微信535600147,获取PDF版本光伏为主要驱动力医药为主要驱动力3.53.02.52.01.51.00.50.020112012201320142015201620174.0来源:wind,中泰证券研究所整理(十二)精测电子:业绩持续高增速,从面板到半导体步步为营n精测电子是国内平面显示信号测试领域的龙头企业,技术达国际领先水平,主要从事平板显示检测系统的研发、生产与销售,主营产品包括模组检测系统、面板检测系统、OLED检测系统、AOI光学检测系统和平板显示自动化设备。产品得到京东方、三星、LG、夏普等优质客户批量应用,并大量供给A客户用于IPhone和IPad显示测试。n公司业绩持续高增长。2017年营业收入9.0亿,同比增速高达71%,净利润1.7亿元,同比增长88%。公司2013年营收仅1.4亿元,4年年复合增长率高达57.8%,业绩持续高增长。图表524:精测电子营收和增速图表525:精测电子净利润和增速若出现排版错位,可加微信535600147,获取PDF版本 若出现排版错位,可加微信535600147,获取PDF版本10987654321.410营业收入/亿元YOY76%64%5.24.22.525%9.090%80%71%70%60%50%40%30%20%10%0%1.81.61.41.21.00.80.60.40.20.00.50.5净利润/亿元YOY0.90.850%16%4%1.7100%88%90%80%70%60%50%40%30%20%10%0%若出现排版错位,可加微信535600147,获取PDF版本2013201420152016201720132014201520162017来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所nAOI光学检测占比最大,毛利率稍有下滑,净利率提升。从收入结构来看,2017年AOI光学检测系统收入4.06亿元,同比增长89.12%,占比45.31%,首次超过模组检测系统。模组检测系统收入3.32亿元,同比增长45.59%。平板显示自动化设备收入9,035.09万元,同比增长64.20%。2017年公司毛利率46.7%,同比下降7.4个百分点,净利率18.9%,同比增加1.7个百分点。图表526:2017公司收入结构图表527:公司毛利率和净利率情况若出现排版错位,可加微信535600147,获取PDF版本AOI光学检测系统模组检测系统平板显示自动化设备面板检测系统OLED检测系统其他3.9%2.5%1.1%10.1%45.3%37.1%70.0%60.0%50.0%40.0%30.0%20.0%10.0%0.0%毛利率净利率58.1%55.9%57.6%54.1%46.7%34.4%20.2%18.5%17.2%18.9%20132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n二季度延续一季度业绩高增长,面板红利龙头充分受益。公司6月26日发布2018年H1业绩预告,上半年预计盈利1.1-1.25亿元,同比增长110%-138%,符合此前预期,拆分下来Q2单季度业绩约为0.61-0.76亿,同比增长97%-145%,环比增长24.5%-55%,公司业绩继续保持强劲增速主要是公司作为面板领域检测的稀缺龙头,紧抓下游面板投资增长的景气机遇和红利,依托在平板显示检测领域“光、机、电、算、软”等核心技术的整合能力和跟随下游大客户的扩产不断扩大规模,护城河深厚。n面板检测从中后到前端市场规模增加3倍。2017年京东方、华星光电等本土面板厂商开始大规模投资,其中新增13条LCD面板生产线总投资额近4477亿元左右,而设备厂商将最先受益。公司目前主要服务京东方(2017年第一大客户61%)等大客户,订单主要集中在Module若出现排版错位,可加微信535600147,获取PDF版本 段和Cell段,公司定位面板检测龙头,从Module、Cell制程向Array前道制程开拓是技术和客户协同使然,且前道设备若实现突破将打开3倍市场空间。从公司Q2来看陆续中标京东方/华星光电宏观缺陷检查机(CELL和Array都能用到)、手动模组测试机、模组检查机等中前道市场逐步打开,下半年有望继续深挖面板前道检测工序,静待产品稳步推进。nOLED检测设备进入替代元年,成长才开始。我们统计2018年起国内OLED产线预计投产1045亿元左右,所需OLED检测设备需求占比12%约125亿元。公司具备LCD-AOI等检测的技术和服务经验,技术同源将较快的应用到OLED检测上,公司2017年实现OLED检测0.22亿,已实现从百万到千万级跨越,但占有率仍不到1%,公司接下来将重点在OLED检测设备中的光学补偿Demura和Gamma调节等检测设备打开OLED领域的规模化成长。n再次加码半导体检测设备抢占百亿蛋糕。公司6月19日公告拟以自有资金出资1亿元在上海设立全资子公司,主要经营半导体测试设备,这是继公司1月携手IT&T设立半导体检测公司后的又一次加码。半导体设备检测主要涉及膜厚检测、线宽检测、电子束检测、有图形或者无图形的缺陷检测等,根据半导体行业资讯,受晶圆厂拉动,我们预测2018年半导体设备需求爆发市场规模700亿元,其中检测设备占比15%-20%约为120亿元,公司此次在上海建立半导体测试设备子公司,后续将通过构建研发团队及海外并购引入国产化等手段,我们看好公司从面板检测设备龙头到半导体检测设备的进军,业绩空间将进一步打开。(十三)晶盛机电:国内晶体硅生长设备龙头n公司为国内晶体硅生长设备龙头企业,晶体生长设备产品主要服务于太阳能光伏产业,半导体集成电路产业等。同时近年来,公司已开发出光伏和LED领域的智能化装备和新型蓝宝石晶体生长炉等新产品,并通过产业链的延伸,致力于成为国内领先的蓝宝石材料供应商。n公司主要产品:全自动单晶生长炉、多晶硅铸锭炉、蓝宝石晶体炉、区熔硅单晶炉、单晶硅滚圆机、单晶硅截断机、单晶硅棒切磨复合加工一体机、多晶硅块研磨一体机、硅棒单线截断机、硅块单线截断机、蓝宝石晶锭、蓝宝石晶片、LED器件检测分选装备、LED灯具自动化生产线等。图表528:公司2017年营收分类占比(亿元)图表529:公司主要产品:晶体生长设备等若出现排版错位,可加微信535600147,获取PDF版本 1.99,10%15.72,81%0.94,5%0.84,4%晶体硅生长设备LED智能化装备蓝宝石材料其他业务来源:公司公告,中泰证券研究所来源:公司公告,中泰证券研究所图表530:公司半导体设备,包括:晶体生长炉单晶硅截断机、滚磨机等若出现排版错位,可加微信535600147,获取PDF版本来源:公司官网,中泰证券研究所n公司业绩保持高速增长:2018Q1年公司实现营收5.66亿元,同比增长53.3。归母净利润为1.35亿元,同比增长122.85亿元。2017年全年公司实现营收19.5亿元,同比增长78.6。归母净利润为3.87亿元,同比增长89.8.n2018Q1毛利率、净利率稍有提升。2018年Q1公司毛利率为39.37,同比增加3.66个百分点,环比增加1.02个百分点。2018Q1净利率为23.46,同比增加7.38个百分点,环比增加4.39个百分点。若出现排版错位,可加微信535600147,获取PDF版本图表531:2017年营收及净利润情况(亿元)图表532:2017年公司毛利率及净利率情况若出现排版错位,可加微信535600147,获取PDF版本营业总收入归母净利润营收同比(%)净利润同比(%)2520151050200%150%100%50%0%-50%-100%60%50%40%30%20%10%0%销售毛利率(%)销售净利率(%)若出现排版错位,可加微信535600147,获取PDF版本若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所来源:wind,中泰证券研究所n公司研发生产的TDR80A-ZJS、TDR80B-ZJS、TDR120A-ZJS、TDR100B-ZJS等型号的全自动单晶硅生长炉可以用于生产均匀性更高、缺陷密度更低的单晶硅棒,不仅可以用于太阳能级单晶硅材料的制备,还可满足在均匀性和缺陷密度等方面要求更高的半导体行业客户需求。n半导体装备订单获得突破,进一步打开半导体装备市场:2017年上半年公司与合晶科技下属公司郑州合晶硅材料有限公司签订了半导体用单晶硅生长炉合同,合同总金额940万美元。截至2017上半年,公司半导体设备合计新签订单超过8,500万元,产品包括半导体单晶炉、半导体单晶硅滚圆机、半导体单晶硅棒截断机等新产品,在半导体加工设备的开发和市场销售方面取得积极进展。n加码半导体投资,进展迅速:10月13日,公司公告将与中环股份协同无锡市政府下属的投资平台等,共同启动建设集成电路用大硅片生产与制造项目,项目总投资约30亿美元,一期投资约15亿美元。n本次与中环股份的合作,是继国家科技重大专项(02专项)“极大规模集成电路制造装备及成套工艺”之《区熔硅单晶产业化技术与国产设备研制》合作之后的再一次在半导体领域的深度合作,将发挥公司在半导体设备研发制造领域的优势,有利于公司保持半导体硅晶体生长设备的技术先进性和市场领先优势,大力推进半导体关键设备国产化,不断提升公司半导体设备核心竞争力。我们判断公司将逐步受到下游晶圆厂认可,在国内晶圆厂产能扩张的背景下,设备类公司有望受益。n中标中环领先大硅片项目设备订单,凸显设备龙头地位。2018年7月11日,公司发布公告收到中环领先半导体材料有限公司集成电路用8-12英寸半导体硅片项目四工段设备采购第一包、第二包项目的中标通知书,中标产品为半导体级全自动单晶炉、截断机、滚磨机设备,中标金额合计40,285.10万元,约占公司2017年度营收的20.67。中环领先是中环股份下属子公司,其主导产品半导体区熔单晶-硅片综合实力全国第1,全球前3;本次中标中环领先大硅片项目设备订单,凸显出公司在半导体设备领域技术龙头地位,有利于保持公司在半导体硅晶体生长设备的领先优势。(十四)长川科技:国内集成电路测试设备领先者n长川科技为国内集成电路测试设备首家上市公司,细分领域龙头。公司主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备,集成电路测试设备主要包括测试机、分选机和探针台等,目前公司主要产品包括测试机和分选机。n公司生产的测试机包括大功率测试机(CTT系列)、模拟/数模混合测试机(CTA系列)等;分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q系列)、平移式分选机(C6、C7R系列)等。目前,公司生产的集成电路测试机和分选机产品已获得长电科技、华天科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业的使用和认可。若出现排版错位,可加微信535600147,获取PDF版本 图表533:公司主要产品包括测试机和分选机测试机分选机若出现排版错位,可加微信535600147,获取PDF版本产品类别图示应用领域大功率测试机用于各类MOS管、三极管、二极管、IGBT等功率器件的电参数性能测试。模拟/数模混合测试机用于各类模拟集成电路(运放、功放、电源管理、驱动电路等)和数模混合类集成电路(数字IC、AD/DA等)的电参数性能测试。重力下滑式分选机采取管到管或管到卷带进出料方式,适用SOP/TSSOP/SSOP/HSOP/QSOP/DIP/TO等封装外型集成电路的自动分选。平移式分选机采取盘到盘或盘到卷带进出料方式,适用QFP/QFN/LQFP/PLCC/SOP/TSOP/BGA/PGA/LGA等封装外型集成电路的自动分选。若出现排版错位,可加微信535600147,获取PDF版本来源:招股说明书,中泰证券研究所n公司先后被认定为国家级高新技术企业、杭州市企业高新技术研究开发中心、浙江省重点企业研究院和省级高新技术企业研究开发中心。2013年以来,公司承担了国家科技重大02专项“通讯与多媒体芯片封装测试设备与材料应用工程”中“高压大电流测试系统”和“SiP吸放式全自动测试分选机”两项课题的研发工作,其中“高压大电流测试系统”项目已通过长电科技、通富微电的认证,“SiP吸放式全自动测试分选机”项目适用于QFP、QFN、BGA等中高端封装外型芯片的测试分选,已通过长电科技的验证,并实现批量销售。图表534:公司营收和净利润快速增长(亿元)图表535:公司毛利率保持在较高水平若出现排版错位,可加微信535600147,获取PDF版本2.001.801.601.401.201.000.800.600.400.200.00营业总收入归母净利润营收同比(%)净利润同比(%)160%140%120%100%80%60%40%20%0%70%60%50%40%30%20%10%0%销售毛利率(%)销售净利率(%)66%62%63%63%58%60%57%31%33%28%24%23%25%17%2012201320142015201620172018Q1若出现排版错位,可加微信535600147,获取PDF版本来源:wind,中泰证券研究所来源:wind,中泰证券研究所n主营产品销售高速增长,稳定高毛利率保障公司业绩:公司测试机和分选机产品销售实现了较快的增长,其中测试机主要型号CTA8280、分若出现排版错位,可加微信535600147,获取PDF版本 选机产品主要型号C6系列平移式分选机、C9系列重力测试编带一体机均于2013年推向市场,测试机销售收入由2014年的3,211.97万元增长至2016年的5,627.01万元,分选机销售收入由2014年的4,418.27万元增长至2016年的6,326.64万元。2014年-2017年,公司主营业务毛利率分别为62.36%、62.72%、59.71%和57%,总体水平较高。(十五)晶瑞股份:深耕微电子化学品多年,打造电子制造上游龙头n晶瑞股份经过十数年深耕,已成为国内微电子化学品龙头。公司作为国内较早进入微电子化学品生产领域的企业之一,主导产品包括超净高纯试剂、光刻胶、功能性材料和锂电池粘结剂四大类微电子化学品,广泛应用于半导体、光伏太阳能电池、LED、平板显示和锂电池等五大新兴行业,具体应用到下游电子信息产品的清洗、光刻、显影、蚀刻、去膜、浆料制备等工艺环节。n外延并购助力业绩高速增长。公司于2017年9月,收购苏州瑞红少数股东权益;于12月增资江苏阳恒,持股比例达80%,纳入合并范围。2017年全年以及2018年第一季度营业收入分别为5.35亿元及1.62亿元,同比增长分别为22%和46%;分别实现归母净利润3618万、929万元,同比增长7%、43%。图表536:公司营业收入及增速情况(亿元)图表537:公司归母净利润及增速情况(万元)来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n光刻胶维持高毛利率,锂电池粘结剂毛利率回升。公司毛利率自2014年达到高点后,近年来持续下滑,主要由于低毛利率业务锂电池粘结剂占比提升所致。分产品来看,光刻胶由于行业壁垒较高,叠加分立器件用负胶占比提升,毛利率维持在50%以上的较高水平;超净高纯试剂由于原材料价格上涨,毛利率下滑至27%;功能性材料由于竞争加剧,毛利率略微下滑至28%;锂电池粘结剂由于核心原材料需要进口,加工业务毛利率较低,2017年毛利率有所回升至18.47%。图表538:公司毛利率以及归母净利率水平图表539:公司分产品毛利率水平若出现排版错位,可加微信535600147,获取PDF版本 来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n拥有多项自主知识产权,产品研发屡获突破。截止2017年底,公司拥有32项发明专利、4项实用新型专利;公司参与制定了多项行业标准,包括起草并正式颁布国标标准1项、国家标准3项、行业标准15项,主持起草3项行业标准,参与编制SEMI标准1项;同时公司目前在多项产品攻关获得突破。n公司超净高纯试剂普遍达到G4水平,双氧水更是达到G5水平。公司生产的硝酸、氢氟酸、氨水、盐酸、异丙醇等产品已经达到0.1ppb水平,相当于SEMIG4等级,可用于0.09-0.2微米的集成电路;拳头产品双氧水已经达到10ppt级别水平,相当于SEMIG5等级,可用于90纳米以下集成电路,处于国际先进水平。n公司湿电子化学品已进入各大知名厂商。超净高纯试剂方面,公司的电子级双氧水达到全球第一梯队的技术品质,正在稳步推动进口替代,国内8寸和12寸标杆性客户正在按计划推进,其中已在华虹完成测试,即将进入中芯国际产线测试。功能性材料方面,公司开发了系列光刻胶产品配套,目前已进入半导体制造厂商宏芯微、晶导微的供应商体系,同时,硅蚀刻液顺利通过国外客户的技术测试,并实现批量出口。图表540:公司超净高纯试剂收入(万元)图表541:公司功能性材料收入(万元)来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n公司在国内率先实现i线光刻胶量产。公司光刻胶产品由子公司苏州瑞红生若出现排版错位,可加微信535600147,获取PDF版本 产,主要为半导体用光刻胶和平板显示用光刻胶,包括紫外负型光刻胶和宽谱正胶及部分g线、i线正胶等高端产品。苏州瑞红承担了国家重大科技项目02专项“i线光刻胶产品开发及产业化”项目,在国内率先实现目前IC制造商大量使用的核心光刻胶即i线光刻胶的量产,产品采用步进重复投影曝光技术,可以实现0.35μm的分辨率。图表542:公司光刻胶收入(万元)来源:wind,中泰证券研究所n公司光刻胶已进入多家知名厂商认证程序。公司光刻胶项目,依托国家02专项项目,已完成了多款i线光刻胶产品技术开发工作,并且在天津中芯、扬杰科技、福顺微电子等知名半导体厂通过单项测试和分片测试,取得了客户的产品认证。同时,苏州瑞红研发的RZJ-325系列光刻胶、高粘附性光刻胶RFJ-210G、TFT-Array光刻胶部分产品、厚膜光刻胶RZJ-T3520等光刻胶产品也取得重大进展将逐步推向市场。(十六)江丰电子:国产高纯靶材龙头,高端产品有望突破n公司是国内高纯溅射靶材龙头企业,主要产品包括钽靶、铝靶、钛靶、钨钛靶以及LCD用碳纤维支撑,到了美、日跨国公司的垄断,产品成功进入台积电、联电、中芯国际、华虹等知名厂商供应链,广泛应用于半导体、平板显示、光伏领域。n下游应用扩张,相应订单增加,公司业绩持续高速增长。2017年,公司营收达5.5亿元,同比增长24%,净利润6403万元,同比增长17%;2018年第一季度,公司营收达1.39亿元,同比增长20%,归母净利润达1311万元,同比增长37%。图表543:江丰电子营业收入(万元)图表544:江丰电子归母净利润(万元)若出现排版错位,可加微信535600147,获取PDF版本 来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n四大产品线全线增长。分产品来看,公司4大产品线收入已连续多年增长。2017年,钽靶收入1.46亿元,同比增长23.01%,占收入比达26%;铝靶收入1.42亿元,同比增长35.64,占收入比重26%;钛靶收入9679万元,同比增长28.60%,占收入比重18%;LCD用碳纤维支撑收入6797万元,同比增长7.27%,占收入比重12%。图表545:江丰电子产品结构(万元)图表546:2016(内圈)与2017(外圈)营收占比来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n综合毛利率维持稳定,铝靶毛利率持续提升。公司毛利率多年来稳定维持在30%以上,2018Q1毛利率为30.65%,净利率维持在10%左右,主要受产品结构调整影响。分产品来看,公司钽靶、钛靶毛利水平分布维持在40%、30%左右,铝靶毛利率不断提升,从2012年不到10%,迅速提升至2017年的27.39%。图表547:江丰电子利润率图表548:江丰电子各产品利润率若出现排版错位,可加微信535600147,获取PDF版本 来源:Wind、中泰证券研究所来源:Wind、中泰证券研究所n公司研发投入持续增长,关键技术不断突破。公司高度重视技术研发,研发投入逐年增长,2017年研发费用3249万元,同比增长20.85%。在高研发投入下,公司不断突破CFRP、CMP、28-14nm等各类产品之关键技术:n公司掌握了CFRP之总工艺,已于2017年下半年开始批量生产,并向平板显示器生产商批量供货;n公司引进相关技术人才,开发PVD、CMP用保持环、抛光垫等零部件,目前保持环、抛光垫已取得量产订单,CMP产品也获得了国产订单;n公司持续攻克28-14nm技术解读用钽靶、钛靶相关技术,部分产品已在客户端量产,16nm用钽环已量产,14nm用钛靶也已开始客户认证流程。图表549:江丰电子研发投入(万元)来源:Wind、中泰证券研究所(十七)中环股份:单晶材料龙头,收购国电光伏再度起航n国内半导体材料产业龙头企业。中环股份成立于1999年,致力于半导体节能和新能源两大产业,主导产品半导体区熔单晶-硅片综合实力全国第1,全球前3,国内市占率超过75%,全球市占率18%;太阳能高效硅片光电转换效率全球第1;太阳能高效单晶硅片市场占有率全球第1。公司光伏若出现排版错位,可加微信535600147,获取PDF版本 硅单晶研发水平全球领先,先后开发了具有自主知识产权且转换效率大于23%的高效N型DW硅片和转换效率25%、“零衰减”的CFZ-DW(直拉区熔)硅片。n近两年营收持续高增长,毛利率增长显著。2016-17年公司营收分别为67.8亿、96.4亿元,同比保持35%和42%的高增长;1Q18收入28亿元,同比增长达69%。2017年毛利率为19.9%,同比增加6个百分点,盈利水平提升十分显著。2017年净利润6.1%,同比增加0.1个百分点。图表550:公司2013-17年营业收入图表551:公司2013-17年毛利率和净利率若出现排版错位,可加微信535600147,获取PDF版本12010080604020037.3营业收入/亿元YOY35%28%67.847.750.46%45%96.442%40%35%30%25%20%15%10%5%0%25.0%20.0%15.0%10.0%5.0%0.0%毛利率净利率若出现排版错位,可加微信535600147,获取PDF版本19.9%15.2%14.9%13.9%12.4%6.0%6.1%4.2%2.1%2.8%2013201420152016201720132014201520162017来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n2017年公司收入结构中,新能源材料占比91%,半导体材料和半导体器件占比分别为6%和2%。占比最大的新能源材料毛利率较低,近三年分别为14%、12%和19%。半导体材料近三年毛利率为23%、15%和24%。电力毛利率最高,近三年达66%、62%和63%。图表552:2017年公司收入结构图表553:公司不同产品的毛利率新能源材料半导体材料半导体器件融资租赁新能源材料半导体材料电力1.1,1%若出现排版错位,可加微信535600147,获取PDF版本5.8,6%2.0,2%85.8,91%70%60%50%40%30%20%10%0%20102011201220132014201520162017若出现排版错位,可加微信535600147,获取PDF版本来源:Wind,中泰证券研究所来源:Wind,中泰证券研究所n2012年起,公司携手苹果、SunPower及内蒙古、四川当地优势企业,利用丰富的太阳能资源和双方多项具有全球领先水平的技术,采用集本地化系统制造和电站开发于一体的商务模式,在内蒙古和四川分别开发建设7.5GW和3GW光伏电站综合项目,辐射全国并共同开发全球市场。若出现排版错位,可加微信535600147,获取PDF版本 n2015年5月,公司公告与与有研总院、晶盛机电签署了《半导体硅材料产业战略合作协议》,三方拟组建合资公司,合作半层体硅材料项目。此次合作推动了公司8英寸以上单晶项目发展,加强了公司在半导体硅材料领域的领先地位。n与扬杰科技合资投资建设宜兴封装基地。2018年6月公司与扬杰科技、宜兴经济技术开发区签订合作框架协议,公司与扬杰科技在宜兴成立合资公司,持股40%,负责封装基地的建设和运营,总投资规模约10亿元,本次合作有望增强公司半导体器件业务实力,提升产业整体竞争力。n收购国电光伏90%股权,高度契合双产业链布局。2018年7月公司成功以6.59亿元收购国电光伏90%股权,同时向包括中环集团在内的不超过10名特定投资者发行股份募集配套资金,用于国电光伏厂房及公辅设施的修复与维护,以及相关费用。国电光伏背靠国电集团,曾是全球较大的太阳能EPC总承包公司,具备较强的市场影响力。本次交易包括国电光伏宜兴基地内的土地1316亩,还包括房屋、道路等大量资产,收购后中环股份将大大加强半导体和光伏产业重镇无锡的战略布局,与公司的双产业链高度契合,并将进一步扩充产能,在与单晶龙头隆基的竞争中提升竞争力。(十八)长电科技:国产封测龙头,期待反转到来n2017年曙光初现,今年重点关注星科金朋韩国、新加坡整合进展。2017年公司营业收入239亿元,同比增长24.54%;归属上市公司股东净利润3.43亿元,同比增长222.89%。原长电营收和利润均创新高,营收同比增长15.66%,净利润同比增长46.05%,这得益于公司积极拓展市场,快速增加产销量所致。星科金朋17年四季度营收环比增长近50%,FC-CSP产量创历史新高全年经营业绩与上年同期相比基本持平。图表554:长电科技收入规模及增长(亿元)图表555:长电科技净利润规模及增长(亿元)来源:wind,中泰证券研究所来源:wind,中泰证券研究所n产品布局合理,研发能力出众,封装技术和规模化生产能力是公司核心竞争力!公司在江阴、新加坡、韩国仁川,以及宿迁和滁州拥有6处生产基地,封测服务产品涵盖了高中低等全方位的集成电路封测范围,并且在全球主要的半导体市场形成了完整的生产、研发和销售布局。截止2017年公司已获得专利3504件,其中发明专利2743件(在美国获得若出现排版错位,可加微信535600147,获取PDF版本 的专利为1758件),研发能力覆盖中高端封测领域。公司在高端封装技术(如Fan-outeWLB、WLCSP、SiP、BUMP、PoP等)方面处于全球领先地位,在先进封装晶圆份额方面以7.8%位列第三。图表556:长电科技厂区分布来源:长电科技,中泰证券研究所n先进封装引领高端封测市场,Fan-out和SiP技术迎来重要战略机遇期。扇出型封装技术具有潜力巨大的高密度市场和增长稳定的核心市场,根据Yole预测,2023年FOWLP封装制程技术市场规模会超过55亿美元,并且有望为相关的半导体设备以及材料领域带来22亿美元以上的市场潜力。手机基带处理器、内存、电源管理和射频收发器等强烈需求将成为FOWLP封装最稳固的下游市场!对于SiP系统级封装技术,2016年全球市场规模为54.4亿美元,到2023年有望达90.7亿美元,2017年到2023年期间的复合年增长率为9.4%。除电子设备小型化需求增长的推动以外,物联网(loT)、5G、智能手机、医疗等方面也成为SiP封装的核心驱动力。图表557:SIP市场规模(亿美元)图表558:FOWLP市场规模及增速(百万美元)来源:MEMS咨询,中泰证券研究所来源:联合新闻网,中泰证券研究所若出现排版错位,可加微信535600147,获取PDF版本 n收购星科金朋,迈进先进封装技术行列。星科金朋拥有eWLB(嵌入式晶圆级球栅阵列)、TSV(硅通孔封装技术)、3D封装、SiP(系统级封装)、PiP(堆叠组装)、PoP(堆叠封装)等代表行业未来发展趋势的先进封装技术。整合星科金朋重点关注在晶圆级扇出型封装(FOWLP)和系统级封装(SiP)两个领域,目前公司eWLB技术和高阶SiP技术已经赶超国际同行达到世界领先水平。先进封装技术有较大前景,未来公司有望在更大的发展空间及应用市场中受益。2017年年报显示星科金朋整合进展顺利,并在不断优化客户结构;且通过收购掌握全球领先的Fan-outeWLB和Sip封装技术,加上与晶圆代工龙头中芯国际的绑定,使得公司未来受益于大陆半导体崛起的确定性高,产能利用率回升,收购价值逐步显现。随着SiP等先进封装的渗透率逐渐提升以及星科金朋的盈利能力改善,作为国内领先的封测龙头企业,长电科技仍将持续获益。图表559:长电科技2017收入结构图表560:长电科技毛利率与净利率来源:wind,中泰证券研究所来源:wind,中泰证券研究所(十九)通富微电:前瞻布局产业重镇,各大厂区逐步释放n前瞻布局合肥、厦门产业重镇,六大厂区逐步迎来释放期。通富微电拥有总部崇川工厂、苏通工厂、合肥通富微电子有限公司(合肥通富)、苏州通富超威半导体有限公司(TF-AMD苏州)、TFAMDMicroelectronics(Penang)Sdn.Bhd.(TF-AMD槟城)以及在建的厦门通富微电子有限公司(厦门通富)六大生产基地。公司目前的封装技术包括Bumping、WLCSP、FC、BGA、SiP等先进封测技术,QFN、QFP等传统封装技术以及汽车电子产品、MEMS等封装技术。目前全球前10大FABLESS有5家成为其客户,包括AMD、MTK、ST、TI、英飞凌等优质龙头。图表561:通富微电收入规模及增长(亿元)图表562:通富微电净利润规模及增长(亿元)若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所来源:wind,中泰证券研究所n三大市场共同增长,业务规模稳步提升。2017年年报显示,在2016年高速增长的基础上,继续取得较大幅度增长;2017年,未合并通富超威苏州、通富超威槟城的销售收入35.64亿元,同比增长25.05%;合并通富超威苏州、通富超威槟城的销售收入达到65.19亿元,较2016年增长41.98%。1、三大市场共同增长。亚太市场销售额同比增长33%,占销售总额的19%;欧美市场销售额同比增长15%,占销售总额的64%;国内市场销售额同比增长60%,占销售总额的17%。2、产品销售业绩方面,新老产品全面增长。BGA、FC、WLP产品增速分别达到40%、30%、53%;传统产品在基数大的情况下仍有可喜的增长,其中,QFP产品增速达44%。3、市场拓展方面,客户结构更加完善,各区域深挖重点客户、开发新客户。主要应用领域及终端市场为无线充电、高速光模块、Wifi、指纹识别、4GPA、SSD主控芯片、通用模拟、触控、高性能计算、安防监控主芯片、AMOLED驱动等。大基金承接日方股东富士通股份,后续合肥基地有望承担起存储及驱动芯片领域封测重任。图表563:通富微电2017收入结构图表564:通富微电毛利率与净利率来源:wind,中泰证券研究所来源:wind,中泰证券研究所n积极新建产能,业务稳健增长。崇川厂全面覆盖中高低端产品,生产效率不断得到提升,18年切入矿机业务产品结构提升;苏通厂定位崇川厂若出现排版错位,可加微信535600147,获取PDF版本 中高端产品的转移;合肥厂谋求未来存储器及驱动芯片封测的强力驱动。通富超威苏州、通富超威槟城积极应对AMD订单,成功开发了7nmwafernode技术,多项新产品成功量产,同时导入多家知名新客户。随着先进封装渗透率提升、AMD客户导入以及未来募投产能释放,公司未来业绩有望持续增长。(二十)晶方科技:传感封装细分龙头,关注光学拓展n晶方科技持续专注于传感器领域的先进封装业务,重点关注公司在光学工艺领域拓展。目前公司已经具备了8英寸、12英寸晶圆级芯片尺寸封装技术规模量产封装能力,成为全球晶圆级芯片尺寸封装服务的主要提供者与技术引领者。其封装产品主要包括影像传感器芯片、生物身份识别芯片、微机电系统芯片(MEMS),环境光感应芯片、医疗电子器件、射频芯片等,产品广泛应用于汽车电子、消费电子、通信和医疗等诸多高增长领域。主要客户包括格科微、SKHynix、豪威科技等。n公司业绩稳步回暖,未来持续增长可期。2017年公司实现销售收入62,878万元,同比上升22.71%;实现营业利润10,669万元,同比上升174.12%;实现净利润9,569万元,同比上升81.39%。2015-2016年由于消费电子需求趋缓以及产能利用率的下降,导致了公司营收和利润的同比下降,但随着公司逐步关注规模增长、持续进行工艺迭代开发,2017年以来公司业绩回暖明显,基本面向好,未来业绩有望持续增长。图表565:晶方科技营收(亿元)图表566:晶方科技净利润(亿元)来源:wind,中泰证券研究所来源:wind,中泰证券研究所n晶方科技自2005年引进以色列shellcase(EIPAT前身)相关专利进行产业化,通过完善封装技术、扩充产能、引入OV等新客户在CIS晶圆级封装领域建立核心优势。目前公司同时具备8寸、12寸晶圆级芯片尺寸封装技术规模量产封装能力,CIS方面自主开发针对高像素产品的先进封装技术(TSV/FANOUT/SIP)和双摄产品。同时指纹识别与MEMS封装领域也拓展开发出“去基板+TSV”与正压型气密性晶圆级键合等技术,出货稳定。图表567:公司产品营收拆分若出现排版错位,可加微信535600147,获取PDF版本 来源:wind,中泰证券研究所n我们认为未来公司新的增长点有望来自3Dsensing与汽车级CIS两大领域。我们此前多次强调3Dsensing核心工艺难点在于VCSEL/EEL激光器和WLO/DOE相关工艺两大领域,产业来看目前DOE堆叠与切割主要由精材科技完成(pattern和ITO分别由台积电、采钰完成),WLO主要由AMS旗下Heptagon主导。晶方科技深耕WLCSP领域十余年,掌握大量DOE/WLO相关工艺know-how,我们认为今明两年公司这一领域产业化有望推进。此外公司在汽车级CIS领域布局已久,根据年报与车载摄像头客户已经陆续开展验证、出货,随着车载摄像头加速渗透,汽车级CIS业务有望迎来突破。n强化知识产权体系布局,创新力量稳固公司行业地位。引进光学型晶圆级芯片尺寸封装技术、空腔型晶圆级芯片尺寸封装技术,自主独立开发超薄晶圆级芯片尺寸封装技术、硅通孔封装技术、扇出型封装技术、系统级封装技术及应用于汽车电子产品的封装技术等,公司作为全球12寸晶圆级封装技术的领头羊,以外延整合与内部创新构建起强有力的知识产权体系。仅2017一年,公司就成功开发了TSV-PRO、超薄指纹、屏下指纹等先进工艺,同时积极加强生物识别封装、3D成像等新兴技术的研发投入,公司在知识产权方面的强化布局促进研发创新的持续推进,有望提升公司在细分领域的龙头地位。图表568:公司传感器布局领域若出现排版错位,可加微信535600147,获取PDF版本'